Analytical Toolbox For Technology Enabling And

Transcription

Analytical Toolbox for TechnologyEnabling and TroubleshootingSEMATECH SPCC2016

Agenda The Problem Statement Airborne molecular contamination becoming Surface molecularcontaminants Industrial standard methods as tools The Toolbox Trouble shooting guides24/19/2016SEMATECH SPCC2016The MicroContamination Experts

Semiconductor Integrated Circuit (IC) ChipSemiconductormanufacturing processes(gate length/line width)IC chips getting smaller and smaller34/19/2016SEMATECH SPCC201610 µm — 19713 µm — 19751.5 µm — 19821 µm — 1985800 nm (.80 µm) — 1989600 nm (.60 µm) — 1994350 nm (.35 µm) — 1995250 nm (.25 µm) — 1998180 nm (.18 µm) — 1999130 nm (.13 µm) — 200090 nm — 200265 nm — 200645 nm — 200832 nm — 201022 nm — 201214 nm — approx. 201310 nm — approx. 20157 nm — approx. 20205 nm — approx. 2022The MicroContamination Experts

Cleanroom Surface CleanlinessIEST-RP-CC043: SURFACE MOLECULAR CONTAMINATION This Recommended Practice (RP), IEST-RP-CC043 describes thetypes of surface molecular contamination (SMC) that may affect criticalsurfaces and processes Types of SMC include organic and inorganic contaminants that may beadsorbed or reacted onto the surface Effects are manifested in the physical, electrical, chemical, or opticalproperties of the surface The document also describes sources as well as measurement andcontrol methods44/19/2016SEMATECH SPCC2016The MicroContamination Experts

Cleanroom Surface Cleanliness SMC (surface molecular contamination) AMC can form particles leading to SMC If monolayer, SMC can make films, homogeneous or islands SMC is often ML ( 5Å) or approximately 1015 atoms or ions/cm2Flat panel display (FPD) lithography system and photomask54/19/2016SEMATECH SPCC2016The MicroContamination Experts

AMC SourcesSMC Effects Outside air: autos, power plants, smog, industry, DUV photoresist T-topping Uncontrolled boron or phosphorus doping Surface issues: adhesion, wafer bonding, 6roofing, paving, fertilizers, pesticides, farming,sewers, fab exhaust, ocean/saline waterProcess chemicals (esp. hot), reaction byproducts, reactor exhaustWet cleaning, wet- and dry-etching,electroplating bathsSolvents: lithography, cleaning solutionsPeople: ammonia, sulfides, organicsEquipment outgassing: robots, motors, pumps,fans, electronics, computers, heatersMaterials outgassing into air or onto sealedproductsDisasters, internal or external:Spills, leaks (coolants), accidents, fires, poweroutagesFailures of air handlers and scrubbersRecirculating air between areasFOUPs, Pods, shippers, carriers,minienvironments4/19/2016SEMATECH SPCC2016 delamination, electrical conductivity, highcontact resistance, shorts,leakage currents, wetting, cleaning, etch rateshifts, spotting, particle removal, electroplatingdefectsWafer hazing: time dependent hazeOptics hazing: hazing by adsorption, reactions,etching or photochemistry on lenses, lasers,steppers, masks, reticles, pellicles - especiallyfor 157 and 193 nm lithographyCorrosion: process wafers (Al, Cu), flat paneldisplays, equipment, instruments, wiring andfacility (over many years)SiC/Si3N4 formation following pre-oxidationcleanThreshold voltage shiftsNucleation irregularitiesThe MicroContamination Experts

Airborne Molecular Contamination74/19/2016SEMATECH SPCC2016The MicroContamination Experts

Molecular Condensable (AMC-MC) Leading to SMCPlasticizersAntioxidantsTXIB, bp 280 oC(Texanol isobutyrate)BHT, bp 233 oC(Butylatedhydroxytoluene)OOOODOP, bp 384 oC(Dioctyl phthalate)PhosphatesO OOSilicones / SiloxanesODecamethylpentasiloxanePDMSbp 211oCPoly(dimethyl silicone)Si O SiOOSiSiO Si O84/19/2016SEMATECH SPCC2016The MicroContamination ExpertsTEP, bp 215oC(Triethylphosphate)OO POO

Sources of Molecular CondensablesClassificationCompoundCommentsITRS wafer recommendation for C7 is targeting 2 ng/cm2 ( 0.1 ML) for 24 h exposure.PhthalatesTXIBPhthalates are plasticizers and present in organic contruction materials.TXIB (texanol isobutyrate) is commonly observed on wafers and is from airbornedeposition from outgassing vinyl materials in the cleanroom.Diethyl phthalateCommon source may be from the wafer carrier or from outgassing of copolymers usedPlasticizers Diisobutyl phthalate in flexible cable housing, ties, etc. Problem is phthalates affects gate oxides and canButylbenzyldecompose to make SiC.phthalateDOP is from cleanroom flooring material outgassing and from vinyl and plastic typeDOP (dioctylmaterials such as wafer carriers and flexible duct connectors. Presence notphthalate)acceptable in most fabs.ButylatedBHT is common on wafers from wafer carrier outgassing. BHT found in urethane foamAntioxidants hydroxytoluenesealants for HVAC, vinyl curtain, and floor sheet.CycloSiliconesCompound used in silicon HEPA gel seal.dimethylsiloxaneIts presence can be an issue as phosphorus could be a counter n-dopant resulting in10-15% yield loss. Common phosphates in the cleanroom such as TEP (triethylphosphate) used in urethane foam sealants for HVAC and HEPA gel seals, TBPOrganometals(tributyl phosphate) found in vinyl materials, and TCPP (tris{beta-chloroisipropyl}Organophosphates phosphate or Fyrol PCF used as a fire retardent.Would not expect this in a tool unless it was a reactive ion etcher using BBr3. BoronOrganoboratescould be a p-dopant and an issue for ion implantation.NMP (NPresence would affect lithography (DUV) and also cause hazing. Found in paints andBase Compds methylpyrrolidinone) strippers.Alkyl Esters94/19/2016Present in many materials including solvents, lubricants and oil.SEMATECH SPCC2016The MicroContamination Experts

Molecular Condensables in Air and Wafers Can cause delamination or irregularBaselining Molecular Condensable in Cleanroom Air% of sites in each rangeTypical US SEMI Cleanrooms100%Shipping blank90%Dibutyl phthalate80%TXIB70%NMP60%Organophosphorus cpds50%Siloxanes (Cyclic 3-7)40%Hexamethyl-disiloxane30%PGMEA20%10%0% 172172 to 750750 to10,000Range (pptM)104/19/2016SEMATECH SPCC201610,000 to100,000Molecular Condensable on Wafers100Shipping Blank90% of sites within each rangespin-on thickness Vacuum degradation in process tooland inspection tool chambers Organics may carbonize producinggraphite, SiC and carbides resultingin electrical shortsDibutyl phthalate80TXIB70NMP60Organophosphorus cpds50Siloxanes40Dioctyl phthalate (DOP)3020100 0.1 or DL0.1-1.0Ranges1.0-10.010.0-20.0(ng/cm2)Notes: PGMEA, HMDSO not found on witness wafers Silicones, phosphates, TXIB, DBP, DOP found on wafers Both air and wafer tests recommended. Improveddetection sensitivity using Si wafers for somecompounds.The MicroContamination Experts

Material Outgassing Test MethodsDynamic Headspace GC-MS (IEST-RP-CC031) Method for semi-qualitative analysis of outgassed compounds fromcleanroom materials and componentsIDEMA M11-99 DHS GC-MS method Approved for disk drives and usedfor cleanrooms Good for detecting high boilingcompounds outgassed fromcleanroom components, disposablesASTM F1982-99: Analysis for organicson a silicon wafer by TD-GC-MSAssorted materials for outgasssingOutgassing onto a substrate of interestcharacterization SEMI E46: Outgassing of pods onto wafers, then IMS analysis SEMI E108: Outgassing onto wafer method, GC-MS analysis by ASTM1982-99114/19/2016SEMATECH SPCC2016The MicroContamination Experts

Airborne Molecular Grab Test Methods Grab sampling provides excellent sensitivity and specificity It is required for determining specificity, i.e. the source of the AMCMOLECULAR ACIDS (MA)HFHClHNO3H2SO4MOLECULAR BASES (MB)NH3AminesUreaNMPMOLECULAR CONDESABLES (MC)METHODCOMMENTSImpinger followed byion chromatography(IC)Very specific, low DLsImpinger followed byion chromatography(IC)GC-MSVery specific, low DLsOrganic compounds (e.g. silicones and Adsorbent tubesplasticizers)followed by TD GC-MS Survey, low DLsMOLECULAR DOPANTS (MD)Impinger followed byICP-MSVery specific, low DLsP, B and As compounds in airMOLECULAR METALS (MM)Witness wafersAll metals (e,g, Al, transition metals,followed by VPD ICPalkali)MSSurvey, low DLsNote: MD detection limit best using witness wafer and VPD ICP-MS124/19/2016SEMATECH SPCC2016The MicroContamination Experts

AMC and SMC Monitoring MethodsAMC-MA: Anion - air sampler/ICAMC-MB: Amines/ammonia - air sampler/ICAir Sampler using BubblerSMC-SMA: Wafer - UPW extraction/ICSMC-SMB: Wafer - UPW extraction/ICSMC-SMOrg: Wafer - FW TD GC-MSSMC-SMD: Wafer - VPD ICP-MSSMC-SMM: Wafer - VPD ICP-MSWitness WaferPump and AdsorbentAMC-MC: Amides and organic compounds- absorbent tube/TD GC-MS or witnesswafer/FW TD GC-MS134/19/2016SEMATECH SPCC2016AMC-MD: B, P, As and Sb - wafer/VPD ICP-MSB, P, As and Sb - air sampler/ICP-MSPhosphate ions - air sampler/ICAMC-MM: Metals - wafer/VPD ICP-MSThe MicroContamination Experts

Surface Molecular Test MethodsOrganic-free wafers on Al rackFull wafer outgassing unit SME (metal / particles): VPD ICP-MS SMD: Drop scan etch for B, P, As and Sb followed by ICP-MS SMOrg: Full wafer thermal desorption GC-MS, SEMI MF1982-1103144/19/2016SEMATECH SPCC2016The MicroContamination Experts

Trace Metals Analysis by ICP-MS There are several ICP instrument configurations available;Quadrupole ICP-MS, Collision Cell-Quadrupole ICP-MS,HMR ICP-MS and Triple Quad ICP-MSQuadrupole configurationHigh mass resolution configurationGas inletCollision cellTriple Quadrupoleconfiguration154/19/2016SEMATECH SPCC2016The MicroContamination Experts

Molecular Elements (AMC-ME)Metals Trapped From Air(typically particles. Via bubbler & ICP-MS, selectedelements only: Ca, Fe, K sometimes higher) AlCl3 (bp 183 oC) WF6 (bp 18 oC, used for Wplugs)% of sites in each range Metals in air may be molecularin some cases In the future, more metalproblems are likely ALD OrganometallicPrecursors (organo-Cu, Al, Ti,Ga, As, Ge, In, Ba, Sr, Ta, Zr,Hf, Bi, Nb, La) and hydridesfor MOCVD are volatileEtch by-products may also bevolatile 1or DL1 to 1010 to 100Range (pptM)5Anneal at820 C4Oxide Thickness (nm) %30%20%10%0%On SiO3On SiO2 (4 nm)2On SiO2 (7 nm)10102030405060Experiments by Ohmnihas shown that 100 ppbAl residue on a wafersurface after a SC1(NH4OH : H2O2 : DIW)cleaning process canaccelerate oxidation ofbare silicon wafersOxidation Time (min)T. Ohmori, N. Yokoi, and K. Sato, UCPSS, p. 25, 1996164/19/2016SEMATECH SPCC2016The MicroContamination Experts

Environmental Reactivity Monitor (ERM) Reactivity monitors are capable of estimatingAMC concentration levels as low as 1 ppb Their main limitation is their inability to providecontinuous AMC characterizationERM ('Old') Response to HCl in AirCu350AgAngstroms300250200Close HCl vial9/3/2010 1:21 PMUncap HCl vial9/3/2010 1:06 PM1501009/3/10 7:129/3/10 8:249/3/10 9:369/3/10 10:48 9/3/10 12:00 9/3/10 13:12 9/3/10 14:24 9/3/10 15:36 9/3/10 16:48Reactivity monitors are made ofCu or Ag metal stripsTimeERM response to HCl in air, 50-ppbV HCl challenge174/19/2016SEMATECH SPCC2016The MicroContamination Experts

Residue Characterization for rectDirectSolvent extraction / GC-MSSolvent extraction / NVR/FTIRTOF-SIMSXPSTD GC-MSFTIRRamanTGATD GC-MSXPS184/19/2016SEMATECH SPCC2016The MicroContamination Experts

Wafer Exposure ExperimentsExperiment Organic-free wafers exposed invarious environments. Wafersanalyzed using TD GC-MS, SEMIMF 1982-1103 Method-BResults Individual shipper provided the bestprotection – less surface areaexposed to the waferConclusion Individual shippers provide protectionfrom outside AMC FOUP outgassing/carryover issuespossible; especially for hot wafers Keep wafer exposure in LFH to aminimum194/19/2016SEMATECH SPCC2016DBP9 days cleanroom (plasticizerdibutylair, laminar flow2phthalate)hood 11 0000Internal Std5000004.00 6.00 8.00 10.00 12.00 14.00 16.00 18.00 20.00 22.00 24.00 26.00 28.0001 wafer 11 days in PC singlewafer shipper 0.5 00000Internal .0018.0020.0022.0024.0026.0028.006days exposed in FOUP4.5 Caprolactam200000015000001000000Internal StdDiethylphosphate50000002.004.006.008.0010.00 12.00 14.00 16.00 18.00 20.00 22.00 24.00 26.00The MicroContamination Experts28.00

Simulation of Surface Cleaning Procedures IPA solvent residue vs. residues from wiping 8 g IPA (Gigabit)5.3 µg SMOrg residue left0.67 ppmw SVOC’sDL 0.05 ppm 6 g polyester wipe 6 g IPA(Gigabit) 8 g Nitrile gloveWafer wiped 1 min 19.3 µg SMOrg residue left 61 ng/cm2 3 ML Most residue fromglove/wipe and some IPA204/7/2016SEMATECH SPCC2016The MicroContamination Experts

Summa Canister A Summa Canister is an evacuated canister that is used to collect aninstantaneous air sample. Air sample may be collected over 4 to 24hrs The subsequent analysis by a cryo-focus GC-MS for volatiles identifiesthe chemical constituents present in the sample often inconcentrations to sub-ppbV levelsVolatile organics 165 compounds reported Detection limits in the order of 0.1 to 0.3 ppbV Specific Semi-quantitative 214/19/2016SEMATECH SPCC2016The MicroContamination Experts

Photo-Ionization Systems Portable Photo-ionization Detectors (PID) systemscontain built-in correction factors for 100’s ofcompounds. This tool is not specific and does not identify theindividual volatile material. The ppbRAE 3000 measures the presence of VOC’sand inorganic gases from 1 ppb-10,000 ppm withwidely varying sensitivities. System calibrated using standard gas mixture or aspecialty custom gas mixture Specifications (Mixture):ppbRAE 3000 10 ppm Isobutylene, Balance Air224/19/2016SEMATECH SPCC2016The MicroContamination Experts

Dräger-Tubes The Dräger-Tubes are glass vials filled with a chemical reagent or media that reacts through adsorption or chemisorption to a specificchemical or family of chemicalsAir is drawn through the tube with a pumpIf the targeted chemical is present the reagent in thetube changes color and the length of the colorchange typically indicates the measuredconcentrationReagents used in Dräger-tubes enable the removalof potential interfering gases (e.g. aromatichydrocarbons) to enhance specificity of the targetedchemicalMeasuring range:Fluorine 0.1-2 ppm Chlorine 0.3-10 ppm Ammonia 0.25-3 ppm 234/19/2016SEMATECH SPCC2016Dräger tubes and pumpThe MicroContamination Experts

Ultra-Sensitive Sulfur Detector21.02018SO2 Conc. (ppbV)161411.7129.7107.885.863.84SO2 and total-sulfur analyzer Reporting limit is 200 pptV O2 and/or total sulfur Instrument challenge of SO2 todetermine its sensitivity (top right) SO2 concentration in cleanroommake-up air (MUA) over a periodof time (lower right)244/19/2016SEMATECH SPCC2016The MicroContamination Experts19:12ZeroAir20:24

Case Study Using Total Sulfur MonitorProblem: Experiencing sporadic but confounding problem withsubstrates hazingAnalyzed hazed wafers (DIW leach IC) and found ammonium / sulfate in2:1 ratio Air was sampled using DIW bubblers and identified high concentrations ofammonia and sulfate; suspected wafer TDH due to ammonium sulfate TS/SO2 monitor operated on-site to assess cleanroom air, recirculationair, MUA, that pointed to one MUA unit as the source of contamination Contamination source: Identified as sodium metabisulfite drums stored outside,but near the building air intakeRemedy: Drums bagged and removed. TS measured in cleanroom airimmediately reduced significantlyLesson learnt: Combination of real-time monitors and highly-sensitive, speciesspecific methods, are powerful tools for contamination early warning,identification and mitigation254/19/2016SEMATECH SPCC2016The MicroContamination Experts

Chemiluminescence (CL) Sensitive and mature method for the insitu analysis of NOx and many organicmolecular bases such as NMP andamines; cannot be applied to most MC andMA compounds Can potentially generates ozone, which isundesirable in the cleanroom environment The basic chemiluminescence chemistry is:0-50 ppb to 0-20,000 ppb full scalewith independent NO, NO2, NOXranges and autoranging EPA’s NOxReference Test Methods 20 and 7Ewere based on and written forchemiluminescence NOx analyzersNO O3 NO2* O2NO O3 NO2 O2NO2* NO2 hv photons ( 600 to 3,000 nm) Light emission with intensity linearly proportional to the concentrationof NO264/19/2016SEMATECH SPCC2016The MicroContamination Experts

AMC Monitoring System Tiger Optics’ gas phase AMC analyzer is currently available in twoplatforms; Tiger-i 1000 and the Tiger-i 2000 with parts per trillion (ppt)levels detection The Tiger-i 1000 HF and HCl analyzers employ a split architecture thatallows users to place sensor modules up to 50 m away from the centralanalyzer; employs Tiger Optics’ patented Continuous Wave Cavity RingDown Spectroscopy (CW-CRDS) technology The Tiger-i 2000 NH3, HF, HCl, H2S, CO and CO2analyzers provide a compact, integratedarchitecture for enhanced analysis with asmaller footprint, and is based on HALOline of mini-CRDS analyzersTiger – i 2000274/19/2016SEMATECH SPCC2016The MicroContamination Experts

Ion Mobility Spectrometry (IMS) Fundamental papers on ion mobility in electrical fields publishedaround 1905, but IMS is considered to be one of the relatively newanalytical methodsDrift RegionReaction RegionAB hv AB*AB*AB* CAB e- C AB A AB AB e B C C Advantages of IMS is its capacityto operate at atmospheric pressurewith no moving parts The IMS cell within the AirSentry II chlorideanalyzer provides part-per-trillion (ppt) sensitivityand alerts users to small concentrations orchanges in ambient Cl2 and HCl levels284/19/2016SEMATECH SPCC2016The MicroContamination Experts

End of presentationThank you for your attention294/19/2016SEMATECH SPCC2016Hugh Gotts, Ph.D.hugh.gotts@airliquide.comThe MicroContamination Experts

Back-Up SlidesTrouble Shooting Guides304/19/2016SEMATECH SPCC2016The MicroContamination Experts

Troubleshooting Guide Process impact from building and cleanroom construction materialsProblem or Effect ObservedSiC formation, oxide growthvariationAdhesive failure, surfacehydrophobicSiC formationUnintentional doping, surfacehazingCD control, hazing, opticsdegradation, SiC and SiNparasitic layer formationCD control, hazing, opticsdegradationUnintentional dopingTarget CompoundDOP (dioctyl phthalates)TXIB (texanol isobutyrate)BHT (butylated hydroxy toluene)CarbonPoly dimethyl siliconeHexamethyl tri-siliconeOctamethyl tetra-siloxaneBHT (butylated hydroxy toluene)Phosphate esterAntimony (Sb)Butyl phthalateAminesAmmoniumDBP (dibutyl phthalate)BHT (butylated hydroxy toluene)Amines, polyimidesTEP (tri-ethyl ing, anti-oxidantConductive fillerCyclic siloxanes (siliconebi-component)Material ComponentFlooring materials andvinyl tilesAnti-ageing, anti-oxidantCrossliking agentPlasticizerAnti-oxidantCrossliking agentPlasticizerAnti-oxidantCleanroom walls, gasketsFexible connectors andductworkCrossliking agentSurfactantsFire retardantTCEP (tri-chloro ethyl phosphate) Fire retardantTCPP (tri-chloro propylFire retardantphosphate)BF3B(O-R)3, boronBorosilicate fiberglass314/19/2016SEMATECH SPCC2016Fluid seal (ceiling grid),cauking sealantPolyuethane adhesivesPaintings, coatings,concrete fillersULPA/HEPA filters (mediaand potting materials)The MicroContamination Experts

Troubleshooting Guide AMC impact and recommended testsProblem or Effect ObservedHigh contact resistance, openinterconnectsAir ionizers (need frequentcleaning 3 times quarterly or"fuzzballs" form)SAW mass build-upHigh particle counts incleanroom or MENVHigh particle counts on wafers324/19/2016SEMATECH SPCC2016Recommended Test/SourceAcids in airAmmonia in airOrganics on wafersAcids, bases and organics

Apr 01, 2016 · SME (metal / particles): VPD ICP-MS SMD: Drop scan etch for B, P, As and Sb followed by ICP-MS SMOrg: Full wafer thermal desorption GC-MS, SEMI MF1982-1103 Organic-free wafers on Al ra