Counterfeit Integrated Circuits: Detection, Avoidance, And .

Transcription

J Electron TestDOI 10.1007/s10836-013-5430-8Counterfeit Integrated Circuits: Detection, Avoidance,and the Challenges AheadUjjwal Guin · Daniel DiMase ·Mohammad TehranipoorReceived: 6 August 2013 / Accepted: 19 December 2013 Springer Science Business Media New York 2014Abstract The counterfeiting of electronic components hasbecome a major challenge in the 21st century. The electronic component supply chain has been greatly affected bywidespread counterfeit incidents. A specialized service oftesting, detection, and avoidance must be created to tacklethe worldwide outbreak of counterfeit integrated circuits(ICs). So far, there are standards and programs in placefor outlining the testing, documenting, and reporting procedures. However, there is not yet enough research addressingthe detection and avoidance of such counterfeit parts. In thispaper we will present, in detail, all types of counterfeits,the defects present in them, and their detection methods.We will then describe the challenges to implementing thesetest methods and to their effectiveness. We will present several anti-counterfeit measures to prevent this widespreadcounterfeiting, and we also consider the effectiveness andlimitations of these anti-counterfeiting techniques.Keywords Counterfeit ICs · Counterfeit detection andavoidance · Electronic component supply chainResponsible Editor: M. HsiaoU. Guin · M. Tehranipoor ( )ECE Department, University of Connecticut,Storrs, CT 06269, USAe-mail: tehrani@engr.uconn.eduU. Guine-mail: ujjwal@engr.uconn.eduD. DiMaseHoneywell Inc., Morristown, NJ, USAe-mail: Daniel.DiMase@Honeywell.com1 Counterfeit ICs: The ProblemCounterfeiting and piracy are longstanding problems growing in scope and magnitude. They are of great concern togovernment and industry because of (i) the negative impactthey can have on innovation, economic growth, and employment, (ii) the threat they pose to the welfare of consumers,(iii) the substantial resources that they channel into criminal networks, organized crime, and other groups that disruptand corrupt society, and finally, (iv) the loss of businessfrom the trade in counterfeits [53]. Based on a 2008 reportby the International Chamber of Commerce, it was estimated that the cost of counterfeiting and piracy for G20nations was as much as US 775 billion every year and willgrow to 1.7 trillion in 2015 [10].Innovation in the business sector has always been themain driver of economic growth, through the developmentand implementation of ideas for new products and processes. These inventions are usually protected via patents,copyrights, and trademarks. However, without adequateprotection of these intellectual property (IP) rights, theincentives to develop these new ideas and products would beconsiderably reduced, thereby weakening critical thinkingand the innovation process [53]. These risks are particularlyhigh for those industries in which the research and development (R&D) costs associated with the development ofnew products are very high compared to the cost of producing the resulting products. In the world of electronics,the R&D costs for the semiconductor industry are indeedextremely high, and protection of their IP rights is of theutmost importance.Counterfeiting of integrated circuits has become a majorchallenge due to deficiencies in the existing test solutionsand lack of effective avoidance mechanisms in place. Overthe past couple of years, numerous reports [69] have pointed

J Electron TestFig. 1 Counterfeit incidentsreported by IHS [7]to the counterfeiting issues in the US electronics component supply chain. A Senate Armed Services public hearingon this issue and its later report clearly identified this as amajor issue to address because of its significant impact onreliability and security of electronic systems [74, 75].As the complexity of the electronic systems, along withthe ICs used in them, has increased significantly over thepast few decades, they are assembled (fabricated) globallyto reduce the production cost. For example, large foundrieslocated in different countries can offer lower prices to thedesign houses. This globalization leads to an illicit market willing to undercut the competition with counterfeitparts. If these parts end up in critical applications likedefense, aerospace, or medical systems, the results could becatastrophic [71].Just how big the market is remains a mystery. A studyconducted from 2005–2007 reveals that 50 % of originalcomponent manufacturers (OCM) and 55 % of distributors(authorized and unauthorized) have encountered counterfeitparts [70]. The Electronic Resellers Association International [19] monitors, investigates, and reports issues that areaffecting the global supply chain of electronics. ERAI, incombination with Information Handling Services Inc. [34],has been monitoring and reporting counterfeit componentstatistics dating back to 2001. The most recent data (Fig. 1)provided by IHS shows that reports of counterfeit parts havequadrupled since 2009.With counterfeit incidents on the rise, it is increasinglyimportant to analyze the vulnerabilities of the electroniccomponent supply chain. Table 1 shows the five most commonly counterfeited components according to percentage ofreported counterfeit incidents. They are as follows: analogICs, microprocessor ICs, memory ICs, programmable logicICs, and transistors. Together, these five component groupscontribute around 68 %, slightly more than two-thirds, ofall counterfeit incidents reported in 2011. Note that in thispaper, we will use parts and components interchangeably torefer to semiconductor devices.This steady increase of reported incidents reflects theneed for effective methods of testing parts and for maintaining proper records as parts travel through the supply chain.There are a handful of standards that seek to do just this,with more being written and revised. The group responsible for many of these standards is the G-19 CounterfeitElectronic Parts Committee, set forth by SAE International[59]. Their standards target three different sectors of theindustry: distributors, users, and test service providers (i.e.,test laboratories). A collection of the standards that theyhave written or are currently working on is as follows:(i) AS6081 - Counterfeit Electronic Parts Avoidance, Distributors, (ii) ARP 6178 - Counterfeit Electronic Parts;Tool for Risk Assessment of Distributors, Distributors &Users, (iii) AS5553 - Counterfeit Electronic Parts; Avoidance, Detection, Mitigation, and Disposition, Users, and(iv) AS6171 - Test Methods Standard; Counterfeit Electronic Parts, Test Providers.While SAE is the most prominent entity when it comes tostandards, there are a couple of programs designed to helpindependent distributors gain customers’ trust. ComponentsTechnology Institute, Inc. (CTI) [13] has created the Counterfeit Components Avoidance Program (CCAP-101) [15].Independent distributors can be certified as CCAP-101 compliant, done by means of a yearly audit. Another programwith similar goals has been developed by the Independent Distributors of Electronics Association (IDEA) [33].A comparison of the SAE’s AS5553, CTI’s CCAP-101,and IDEA’s STD-1010 is available in [14]. The main issuewith many of these standards is that the “policy” and theTable 1 Top-5 most counterfeited semiconductors in 2011 (Percentage of counterfeit part reports)RankCommodity type% of reportedincidents#1#2#3#4#5#6Analog ICMicroprocessor ICMemory ICProgrammable logic ICTransistorOthers25.2 %13.4 %13.1 %8.3 %7.6 %32.4 %1 Source:IHS parts management 2012 [35]

J Electron Test“regulations” are their main focus rather than the “technology”. Thus it is easy for counterfeiters to adapt to the newregulations circumventing effective detection of counterfeitparts.Detection and avoidance of counterfeit components aredifficult challenges, partly because there are such a widevariety of counterfeit types impacting the supply chain. It isof the utmost importance to develop a taxonomy of defectsand anomalies present in counterfeit components, to enabledetection of these components with a group of test methods.In this paper, we have developed a comprehensive taxonomy of counterfeit types, defects and test methods. Ourcontributions include the development of:(i)(ii)(iii)(iv)Taxonomy of counterfeit types: We develop a taxonomy of counterfeit types to analyze supply chainvulnerabilities.Taxonomy of counterfeit defects: We develop adetailed taxonomy of the defects present in counterfeit ICs. To the best of our knowledge, this isthe first approach to analyzing counterfeit components based on their defects and anomalies. This listof defects and anomalies is based on our detailedanalysis of numerous counterfeit parts in collaboration with SAE G-19A, Test Laboratory StandardsDevelopment Subcommittee [58].Taxonomy of counterfeit detection methods: Ourcounterfeit methods taxonomy describes all the testmethods currently available for counterfeit detection.Test methods for counterfeit detection primarily target all the counterfeit parts already on the market(known as obsolete and active parts).Taxonomy of counterfeit avoidance methods: Thetaxonomy of avoidance methods addresses how toprevent counterfeit parts from entering into supplychain and to identify counterfeit parts without performing the costly and time consuming detectionmethods.The rest of the paper is organized as follows. InSection 2, we will describe different types of counterfeitsand how they infiltrate the electronic component supplychain. Section 3 will present a detailed taxonomy of counterfeit defects. In Section 4, we will describe the taxonomyof counterfeit detection methods. The counterfeit avoidancetechniques will be presented in Section 5. We will then discuss challenges to the implementation of current counterfeitdetection and avoidance technologies. We will conclude thepaper in Section 7.2 Electronic Component Supply Chain Vulnerabilities2.1 Counterfeit TypesA counterfeit component (i) is an unauthorized copy; (ii)does not conform to original OCM design, model, and/orperformance standards; (iii) is not produced by the OCMor is produced by unauthorized contractors; (iv) is an offspecification, defective, or used OCM product sold as “new”or working; or (v) has incorrect or false markings and/ordocumentation [70]. Based on the definitions above andanalyzing supply chain vulnerabilities, we classify the counterfeit types into seven distinct categories [25, 26, 28, 29]shown in Fig. 2.1)2)3)Recycled: The most widely discussed counterfeit typesat the present time are the recycled and remarkedtypes. It is reported that in today’s supply chain, morethan 80 % of counterfeit components are recycled andremarked [38]. In the United States, only 25 % of electronic waste was properly recycled in 2009 [73]. Thatpercentage might be lower for many other countries.This huge resource of e-waste allows counterfeitersto pile up an extremely large supply of counterfeitcomponents. The components become recycled whenthey are taken from a used system, repackaged andremarked, and then sold in the market as new. Theserecycled parts either may be non-functioning or priorusage may have done significant damage to the part’slife or performance.Remarked: In remarking, the counterfeiters removethe old marking on the package (or even on the die)and mark them again with forged information. Duringthe remarking process, the components’ packages aresanded or ground down to remove old markings (partnumber, date code, country of origin, etc.). Then, tocover the sanding or grinding marks, a new coating iscreated and applied to the component. Components canalso be remarked to obtain a higher specification thanthey are rated for by the original component manufacturer (OCM), e.g., from commercial grade to industrialor defense grade.Overproduced: Today’s high-density integrated circuits are mostly manufactured in state-of-art fabrication facilities. Building or maintaining such facilities for the present CMOS technology is reportedto cost more than several billion dollars and thisnumber is growing [51]. Given this increasing costand the complexity of foundries and their processes,the semiconductor business has largely shifted toa contract foundry business model (horizontal business model) over the past two decades. This is alsotrue for the assembly where the dies are packaged,

J Electron TestFig. 2 Taxonomy of oducedAgedNewFabricationOutside ContractNonFunctional4)5)6)Recycledtested, and shipped to the market. Any untrustedfoundry/assembly that has access to a designer’s IP,also has the ability to fabricate ICs outside of contract.They can easily sell excess ICs on the open market.Out-of-Spec/Defective: The other variation of anuntrusted foundry/assembly sourcing counterfeit components is out of specification or rejected components.They may either knowingly sell these components, orthe components may be stolen and sold on open markets. During manufacturing tests, a component is considered defective if it produces an incorrect responseto even one test vector. Sometimes, the probability ofactivating a component’s defective node is extremelysmall. If these components make their way into thesupply chain, detection will be extremely difficult asthey produce correct responses in most of the testcases. These components can pose a serious threat tothe quality and reliability of a system.Cloned: Cloning is commonly used by a wide variety of adversaries/counterfeiters (from small entity tolarge corporation) to copy a design in order to reducethe large development cost of a component. A clonedcomponent is an unauthorized production without alegal IP. Cloning can be done in two ways – by reverseengineering, and by obtaining IPs illegally. In reverseengineering, counterfeiters copy designs and then manufacture (fabricate) components which are the exactcopy of their original counterpart. Sometimes cloningcan be done by copying the – contents of a memoryused in a tag for electronic chip ID, bitstream targetedto programmable gate arrays, etc.Forged Documentation: Forged documentation mayinclude certifications of compliance for some standards or programs, or a revision history or entationTamperedPerformancePirated IPFake CertificationsSilicon TimeBombManufacturerRejectReverseEngineeredForged ChangelogBackdoorof a component. Archived documentation for olderdesigns and older parts may not be available at theOCM, making it difficult to verify their authenticity.In addition, many organizations have merged or havebeen acquired over the years, and information is oftenlost in the transition.Tampered: Tampering can be done during any phaseof the life cycle of a component. It can either be onthe die level (“hardware Trojan”) or package level.Such components can either act as a silicon time bombwhere the device can behave differently under certainconditions or act as a backdoor where secret information from the chip can be sent out to an adversary. Inboth cases, the chip behaves outside of its specification, and thus we have included such ICs as counterfeitparts. A detailed taxonomy for tampering a device byhardware Trojans can be found in [68].2.2 Supply Chain VulnerabilityTypically an electronic component will go through a processshown in Fig. 3. This process includes design, fabrication, assembly, distribution, usage in the system, and finallyend of life. As seen, there are vulnerabilities associatedwith each step in this supply chain. In design stage, an IPmay be stolen or a hardware Trojan may be inserted intothe design. An untrusted foundry or assembly can insert ahardware Trojan or produce different types of counterfeits.The design house can use illegally obtained IPs in theirdesigns. Overproduced and out-of-spec/defective parts canbe entered into the supply chain in the fabrication stage.Untrusted foundries can potentially sell these parts in theopen market. They can also tamper with the design to create a backdoor for getting secret information from the field.Fig. 3 Electronic componentssupply chain t-of-Spec/DefectiveTamperedAssemblyDistributionAll CounterfeitTypesLifetimeEnd of Life/RecyclingRecycledRemarkedDefective/ Out-ofspecTampered

J Electron TestThese parts also get into the supply chain in the assemblyphase. An untrusted assembly can possibly sell these partsor tamper the designs. Illegal activities during distribution,in-the-system (lifetime), and end-of-life may bring differenttypes of counterfeits back into the supply chain (recycled,remarked, etc.).anomalies and defective behavior by them can be attributedto being counterfeit. Figure 4 presents the classification ofthe defects present in the counterfeit components.3.1 Physical DefectsPhysical defects are directly related to the physical properties of the components. They can be classified as exteriorand interior defects, depending on the location of the defectsrelated to the packaging.Exterior defects are related to packaging/shipping,leads/balls/columns, and package of a component. Themost obvious defects will be ones that are associatedwith the packaging or shipping the parts arrived in. Theleads/balls/columns of an IC can show how the part hasbeen handled if it was previously used. Physically, theyshould adhere to datasheet specifications, including size andshape. The final coating on the leads should conform to thespecification sheet. The package of an IC can reveal significant information about the chip. As this is the locationwhere all model numbers, country of origin, date codes, andother information are etched, counterfeiters will try to be3 Counterfeit DefectsThe detection of counterfeit components is a multifacetedproblem. Different types of components (analog, digital,etc.) and counterfeits (discussed in Section 2.1) impact thedetection results. Some counterfeits are easier to detectthan others and some components are easier to test thanothers. To address this, it is of the utmost importance todevelop a taxonomy of defects and anomalies present inthe counterfeit components. By ensuring the detection ofone or more defects, one can confidently detect counterfeit components. A counterfeit part may present anomalieson the leads/package, degradation in its performance, ora change in specification. Since we assume, the components are comprehensively tested by the assembly, any ppingD1: InvalidLot CodeLeads/Balls/ColumnsD9: DentsD10: Re-tinnedD2: Invalid OCM/OEM ShippingLabelsD11: IncorrectDimensionsD3: Invalid OCM/OEM PackagingD12: WrongMaterialsD4: No ESDBags for ESDSensitiveDevicesD13:ContaminationPackageD19: InvalidLot/Date/Country CodeD21: MarkingsDieD34: MissingWiresD39: Missing DieD45: TransistorVth VariationD35: PoorConnectionD20: Sanding /Grinding MarksD36: BrokenWiresD41:DelaminationD47: ResistiveOpen / ShortD42: GrossCracksD48: Out-ofspec LeakageCurrentD22: BurnedMarkingsD43: ImproperDie MarkingsD23:GhostMarkingsD38: DoubleWire BondsD44: Die Damage/ExtraneousMarkingsD24: ColorVariations/FadeD15: ColorVariationsD25: ImproperTexturesD26: ExtraneousMarkingsD7: PartOrientationwithin PackagingD16: ToolingMarksD17:Misaligned/missing Balls/ColumnsD27: Dirty CavitiesD8: Missing/incorrect MSDIndicatorsD18: Distorted/Non-uniformBalls/ColumnsD29: High Fine/GrossLeak (Hermetic)D6: MultipleDate Codeswithin a LotD40: Wrong DieD46: TDDBD37: Poor/InconsistentLead DressD28: IncorrectDimensions/ WeightD30: Package MoldVariationsD31: CorrosionD32: ContaminationD33: PackageDamageFig. 4 A taxonomy of defects in counterfeit componentsManufacturingProcessBond WiresD14: Oxidation/CorrosionD5: Missing/ForgedPaperworkParametricInteriorD49: Out-ofspec. TransientCurrentD50: IncorrectTemp. ProfileD51: Delay DefectsD52: MissingContactWindowsD53: MisalignedWindowD54: OxideBreak-downD55: ParasiticTransistorsMaterialD56: FineCracksD57: CrystalImperfectionD58: SurfaceImpuritiesD59: ImproperMaterials(Seals, Epoxies,Dielectrics, etc)PackageD60: SurfacePassivation andCorrosionD61: ContactDegradationD62: Seal LeaksD63: ElectromigrationD64: MechanicalInterfaces:Intermetallic GrowthD65: MechanicalInterfaces: Fatigue

J Electron Testespecially careful not to damage anything and to keep thepackage looking as authentic as possible.Interior defects are mainly divided into two types: bondwire and die-related defects. Some common defects relatedto bond wires are missing/broken bond wires inside thepackage, a poor connection between the die and bondwire, etc. The die reveals a significant amount of relevantinformation regarding the component. Die-related defectsinclude die markings, cracks, etc.2)3.2 Electrical DefectsTypical electrical defects can be classified into two distinctcategories, namely parametric defects and manufacturingdefects. Parametric defects are shifts in component parameters due to prior usage or temperature. A shift in circuitparameters due to aging will occur when a chip is used inthe field for some time. Manufacturing defects come fromthe fabrication process of components and are classifiedinto three categories – process, material, and package. Thedefects under the process category come from the photolithography and etching processes during the fabrication.The defects related to material arise from impurities withinthe silicon or oxide layers. The passivation layer providessome form of protection for the die, but failure occurs whencorrosion causes cracks or pin holes. The aluminum layercan easily be contaminated with the presence of sodium andchloride and results in an resistive open defect.4 Counterfeit Detection MethodsIt has become necessary for manufacturers, distributors,and users of electronic components to inspect all incoming electronic components for authenticity, especially withparts purchased outside of OCM-authorized distributors. Itis absolutely necessary to analyze the current counterfeitdetection methods for the inspection of such parts. In thissection, we will describe these detection methods in detail.Figure 5 shows the detailed taxonomy of such methods.4.1 Physical InspectionsPhysical inspections are performed to examine the physical and chemical/material properties of the component’spackage, leads and die of a component mostly to detect thephysical counterfeit defects (Section 3.1).1)Incoming Inspection: When an order is received, itfirst goes through the incoming inspection. All thecomponents under test (CUTs) are inspected thoroughly. In low power visual inspection (LPVI), all theCUTs are strictly documented and inspected. LPVI3)requires a low power microscope (generally less than10X magnification) to inspect the exterior of the CUT.The markings on genuine components tend to be clearand identical. The internal structure of the CUTs areanalyzed using X-Ray imaging. If a known good component (golden model) is available, one can comparethe images taken from the CUT with this golden model.Exterior Test: The exterior part of the package andleads of the CUT are being analyzed by using exteriortests. In package configuration and dimension analysis, the physical dimensions of the CUTs are measuredeither by hand-held or automated test equipment. Anyabnormal deviation of measurement from the specification sheet indicates that the CUT may be counterfeit.Blacktop testing is the procedure of testing the markingpermanency of a CUT with various solvents. A nonepoxy blacktop coating should be dissolved in acetone,while a thermal or UV-cured epoxy will require theuse of a much more aggressive solvent [45]. Microblasting analysis is a dry and superfine blasting process.Various blasting agents with proper grain sizes arebombarded on the surface (package) of the CUT, andthe materials are collected for analysis. Some common blasting agents are aluminum oxide powder, glassbeads, sodium bicarbonate powder, etc. Hermiticitytesting is a special type of package analysis specific tohermetically sealed parts that tests the hermetic seal.The seal on such components ensures its correct operation in the environment that it was designed for. Abreak in this seal leads to the failure of the component.Scanning acoustic microscopy (SAM) is one of themost efficient, though expensive, ways of studying thestructure of a component. This technology functions byusing the reflection or the transmission of ultrasoundwaves to generate an image of the component basedon its acoustic impedance at various depths. This isvery useful in detecting delamination [37]. Cracks andvoids in the die will also be detectable, as well as thestructure of bond wires.Interior Test: The internal structures, die andbond wires, of the CUTs are inspected bydelid/decapsulation. There are three mainstream methods commercially available for decapsulation. Theseare chemical, mechanical, or laser-based products.Chemical decapsulation involves etching away thepackage with an acid solution. Newer laser-based techniques can remove an area of the package. Mechanicaldecapsulation involves grinding the part until the die isexposed. Once the part has been decapsulated and therequired structures exposed, the following tests needto be performed:In optical inspection, all the related informationregarding die and bond wires are properly documented.

J Electron TestCounterfeit Detection MethodsElectrical InspectionsPhysical InspectionsIncomingInspectionExterior TestsInterior TestsMaterial AnalysisACDCLow Power VisualInspectionX-Ray ImagingBlacktop orescence(XRF)Wire PullPackage Confg.and dimensionAnalysisDie Shear(HermeticDevices)Fourier TransformInfrared Spec.(FTIR)HermeticityTestingBall ShearScanning AcousticMicroscopy (SAM)Scanning ElectronMicroscopy (SEM)Scanning AcousticMicroscopy (SAM)Scanning ElectronMicroscopy (SEM)IonChromatography(IO)RamanSpectroscopyEnergy DispersiveSpectroscopy(EDS)Functional TestsParametric cationPropagationDelay TestSet Up / HoldTime TestMemoryMARCHTestAccess TimeTestLeakageTestOutput ShortCurrent TestRise / Fall unctional FmaxAnalysisStuck-at FaultTestTransition DelayFault TestPath DelayFault TestOutput DriveCurrent TestThreshold TestFig. 5 A taxonomy of counterfeit detection methods4)The relevant information regarding die markings (company logo, date code, chip ID, country of manufacturer,etc.), bond wire positions, bond types, etc. are to bedocumented. The integrity of the bonds with the dieis tested using wire pull. The adhesiveness betweendie and bond wires degrades with time if the component is in the field. Comparison of the tension (pullingforce) with the golden and test components determineswhether it was used before or not. In die shear, dieattach integrity is verified. This test is applicable tohermitic devices only. A ball shear test is applied toverify the ball bond integrity at the die. In scanningelectron microscopy (SEM), the images of die, package, or leads are taken by scanning it with a focusedbeam of electrons. If there is an anomaly present in it, itcan easily be detected by SEM. It has an effective resolution up to a few nanometers which refers that the diecan be analyzed down to its gate level. This is usefulfor a thorough analysis of the die.Material Analysis: The chemical composition of theCUT is verified using material analysis. This is theonly category of tests that can detect defects andanomalies related to materials. Defects such as wrongmaterials, contamination, oxidation of leads and packages, etc., can be detected. There are several tests thatcan perform material analysis. Some of the most popular tests are X-Ray fluorescence (XRF), fourier transform infrared spectroscopy(FTIR), ion chromatography (IO), Raman spectroscopy, and energy-dispersiveX-ray spectroscopy (EDS).4.2 Electrical InspectionsIn this section, we will discuss various manufacturing testssuitable for detecting the defects and anomalies discussed inSection 3.2. An automatic test equipment (ATE) [23] maybe required for some of these tests.1)Parametric Tests: Parametric tests are performed tomeasure the parameters of a chip [52, 63]. If the chiphas been used before, the DC and AC parametersmay shift from their specified value (mentioned on thedatasheet). After observing test results from a parametric test, a decision can be made as to whether or nota component is counterfeit. In DC parametric tests,the parametric measurement unit (PMU) of an ATEforces an I/O voltage and current to a steady state andmeasures the electrical parameters using Ohm’s law.The operating conditions are set carefully during measurement. The DC parametric tests can be classifiedin different categories - contact test, power consumption test, output short current test, output drive currenttest, threshold test, etc. Detailed descriptions of eachtest can be found in [6]. In AC parametric tests, themeasurement of AC parameters (terminal impedance,timing, etc.) is performed by using AC voltages witha set of frequencies. AC parametric tests can be classified as follows: rise and fall time tests, set-up, holdand release time tests, propagation delay tests, etc. Adifferent set of parametric tests can also be applied tomemories, as in [48]. DC parametric tests include voltage bump test, leakage tests, etc. AC parametric testsinclude set-up time sensitivity test, access time test,running time test, etc.

J Electron Test2)3)4)Functional Tests: Functional tests are the most efficient way of verifying the functionality of a component. A majority of the defects from the defect taxonomy (Fig. 4) can be detected by these tests. Any defectsthat impacts the functionality (from some easy defectssuch as missing or broken

Counterfeiting of integrated circuits has become a major challenge due to deficiencies in the existing test solutions and lack of effective avoidance mechanisms in place. Over the past couple of years, numerousreports[69] h