MARCH 2021 TSMC S Technology - Onto Innovation

Transcription

SEMICONDUCTORS AI DESIGN PACKAGING MEMS DISPLAYSMARCH 2021TSMC’s TechnologyRoadmap p. 22New DriversPropelling Semis,Equipment, IP p. 25The Future ofCompute p. 27Multilayer ThicknessEvaluation byPicosecondUltrasonics p. 31Mid-InfraredOptical MetrologyFOR HIGH ASPECT RATIO HOLESwww.semiconductordigest.comPAGE 16

MetrologyMid-Infrared Optical Metrology for HighAspect Ratio Holes in 3D NAND MemoryManufacturingG. ANDREW ANTONELLI, O n t o I n n o v a t i o n ,BO HUI NG a n d SADAO TAKABAYASHI, M i c r o n T e c h n o l o g yInfrared critical dimension metrology (IRCD) addresses theshortcomings of conventional ultraviolet to near-infraredOCD in channel hole etch high-fidelity z-profile andamorphous carbon hardmask etch BCD metrology.Athree-dimensional and scale vertically,the ability to measure the exact dimenspectroscopic ellipsometrysions of buried features and re-entrantat mid-infrared wavelengthsgeometries will becometo provide accurate criticalincreasingly important.dimension and profileThe transistor channelmeasurements of high-asstrings in the most advancedpect-ratio (HAR) holes in3D NAND devices begin3D NAND memory. Thisas high-aspect ratio holesinformation is essential for(hereafter channel holes)developing and controllingetched through a stack ofthe fabrication process. Thealternating silicon dioxidenon-destructive techniqueexploits unique optical propand silicon nitride (or polysilicon) layers with 128 orerties of mid-IR radiation tomore layer-pairs. Etchingextract information that hasholes with 100 nm diametersnot previously been availableand depths greater than 6 mon a robust platform suitable(aspect ratios greater thanfor in-fab use. We look at60:1) is challenging. The etchtwo examples: channel holes,process uses a hard maskwhich have aspect ratios asFigure 1. Representative structures under investigation forwith holes of similar diameterhigh as 60:1 and perations.and depths up 2.5 microns,become vertical strings ofThese structures have a 150 pair superlattice of silicongiving them aspect ratios ofmemory cells in 3d NANDdioxide and silicon nitride with a pair thickness of 50 nm, amemory, and the holes in the hole diameter of 100 nm, and amorphous carbon hardmask 25:1 and presenting challengessimilar to the channel holehardmask, which have aspect thickness 2 m.etch. Figure 1 illustratesratios up to 25:1 and are usedelectron, or ion imaging techniques,these structures schematically. An idealto etch the channel holes.but it has limitations when appliedetch process would yield a perfectlyEllipsometric optical criticalto the extreme 3D and high aspectcylindrical hole with a uniform circulardimension (OCD) metrology in the ulratio features of 3D NAND devices.profile along its full length acrosstraviolet to near infrared (190 nm to 1.7As more devices become inherentlythe entire surface of a 300mm wafer. m) spectral range is a well-established16 NEW METROLOGY SYSTEM USESMarch 2021 Semiconductor Digestprocess control technique. It canmeasure buried features inaccessibleto top-down non-destructive optical,www.semiconductordigest.com

Figure 2. Optical penetration depth in the mid-infrared spectral range of typicalsilicon dioxide and silicon nitride materials.Realizable etch processes show significant deviations from cylindricalprofiles both within a wafer and fromwafer to wafer. Developing and controlling these processes requires theability to measure hole profiles. Havingthis measurement capability inlineaccelerates process learning during thedevice development stage and is alsocritical even after the process has beentransferred to high volume manufacturing where it proliferates to more etchchambers.OCD measurements are indirect. Theybegin with a simulation process thatpredicts expected effects on measuredoptical parameters when light is reflected(or transmitted) from a model structure.Ideally, unique effects are found thatcorrelate uniquely to the physical measurement of interest. On channel holes,conventional OCD metrology tools usingUV to near IR wavelengths provideaccurate and robust measurements forhole volume and in some cases the tiltof the channel hole at each point on thewafer but are typically incapable of determining the shape of the channel holeprofile due to the high level of spectralcorrelation. In use, OCD models can beconstrained to provide adequate processfeedback when z-profile variation fromsite to site is low, but constrained modelsare insufficient when the site-to-site orwafer-to-wafer channel hole shape variation is large and when process schemeschange dynamically, as often occursduring the development stage. Adaptingto changes in the process is further hindered by the considerable time requiredto model new solutions. A more flexiblemetrology solution would cover a widerrange of z-profile variations and allowfaster modelling (on the order of days) tokeep up with the fast-paced developmentenvironment in a semiconductor fab.The most popular amorphous carbonused as a hardmask in these integrationschemes is graphitic in-character andoptically opaque in the traditional OCDspectral range, limiting OCD metrologyto the top surface. Ideally, the metrologytechnique should receive signal fromthe entire etched amorphous carbonstructure, including the bottom, and becapable of z-profile extraction. Althoughthe amorphous carbon is sacrificial, itsprofile and particularly its bottom CD(BCD) strongly affect the channel CDprofile and must be adequately controlled.Currently, there is no established nondestructive inline technique for amorphousFigure 3. Simulated mid-infrared spectral response change of the M33 and M34 Mueller matrix elements for a 1 nm increase inCD at different heights on the channel hole, where 0% indicates the bottom and 100% indicates the top.www.semiconductordigest.comSemiconductor Digest March 2021 17

MetrologyFigure 4. CD z-profiles for the five different wafers, each with a different etch recipe (A to E). A center and an edgemeasurement location are noted to illustrate both wafer-to-wafer (etch-to-etch) as well as in-wafer profile variation.carbon hardmask BCD metrology ineither the development or productionphases of 3D NAND manufacturing.To address these HAR etch processmonitoring challenges a mid-infraredspectroscopic ellipsometer, Aspect (Onto Innovation) was constructed toperform infrared critical dimensionmetrology (IRCD). Infrared ellipsometryis by no means a new concept and hasbeen useful as a laboratory technique,but previous implementations havehad significant limitations in terms ofmeasurement speed, vibration sensitivity,and component fragility. Aspect enablesfast, high signal-to-noise ratio measurements using a novel high-brightnessinfrared light source and a non-cryogenicspectroscopic detector array suitable forin-line production measurements. Theobjectives as well as the polarization andcompensation elements are optimized forlong term operation in the mid-infrared.The optical system has been designedto mitigate spurious reflections fromthe back side of the wafer, which, atlow doping levels, is transparent in themid-infrared range. The initial productrelease has a probe size less than 100 mand outputs the M33 and M34 Muellermatrix elements. i.e., and 6 or C andS. A smaller probe size and access tomore Mueller elements will be availablein future revisions of the tool.Channel hole etchTypically, silicon dioxide and siliconnitride are largely transparent in theultraviolet to near-infrared spectralrange. Light within this wavelengthrange can propagate through the entire3D NAND stack before reflecting atFigure 5. CD correlation between IRCD and reference metrology for five different wafers each produced from a different etchrecipe (a) colored by CD at various heights, where 0% indicates the bottom and 100% indicates the top, and (b) colored bywafer or etch recipe.18 March 2021 Semiconductor Digestwww.semiconductordigest.com

MetrologyFigure 6. Radial dependence of CDs at different heights along the channel hole forwafer processed by different etch recipes.the surface of the silicon substate (orthe first reflective layer, counting fromtop to bottom). Therefore, while thereis sensitivity from the top to the bottomof the structure, the signals are mixedand not unique – every wavelengthcarries roughly the same informationabout the top area and the bottom area.This physical property manifests inmodel parameter correlation issuesthat result in inability to determine theprofile of the channel hole unless themodel is constrained appropriately: onlythe volume is a robust measurement.Therefore, the key to measuring verticalz-profiles with a spectroscopic technique is to have different wavelengthscarrying information from differentdepths of the channel hole.Figure 2 plots penetration depthversus wavelength for silicon dioxideand silicon nitride. Absorption bandsin these dielectrics induce variationsin transmission. The regions with theshortest penetration depth yield themost surface-sensitive information,and the long penetration depths yieldBCD information. Another way toillustrate the physical phenomenon isthrough spectral sensitivity simulationsat different heights of a channel stringhole. Figure 3 illustrates the spectralsensitivity at different heights. Theunique signatures strongly suggest thecapability of extracting different CDswww.semiconductordigest.comsolution accuracy. Figure 4 showstypical etch profiles of five wafers, allwith different etch recipes, illustratingrecipe-to-recipe as well as wafercenter-to-edge variation. The desiredmetrology technique needs to detectsuch differences.Figure 5 shows the correlation ofIRCD measured values versus referencedata pooled across multiple points perwafer spread uniformly, in location,across multiple wafers and etch recipes,and from the top to the bottom of thechannel. There is Angstrom-leveldisagreement as represented by thecorrelation root-mean-squared error(RMSE) – note that typical CD valuesare roughly 1000Å. Figure 5a groupsthe data by CDs at various heights,showing that IRCD is measuringindividual CDs as well as their in-waferand wafer-to-wafer variation with highaccuracy. Figure 5b groups the databy wafer, confirming for each waferand each recipe IRCD is measuring thez-profile with high fidelity. Figure 6presents an alternative illustration ofthe z-profile differences from one etchrecipe to another as well as their radial,wafer-location dependence. It showsthat IRCD can capture the many CDvariations pertinent to in-line HARprocess development and control.Figure 7 shows the precision valuespooled from 12 sites and 5 cycles forthe CDs at various heights expressedseparately at different heights withminimal parameter correlation.Controlling and minimizing vertical,within-wafer and wafer-to-wafer CDuniformity is a goal of all HAR etches;therefore, during the development stages,HAR etch recipes are constantly revisedand changed. The ideal metrology wouldprovide solutions that are robust againstsuch process changes – a perennialchallenge for nondestructive indirectmeasurement methods. Direct imagingtechniques are usually not affected byprocess changes, but because of theirdestructive nature, can generally beused only as reference metrology for thedevelopment of nondestructive solutions, rather than as standalone in-linemetrology.The depth sensitivity illustratedin Figures 2 and 3provides the basisfor IRCD z-profilemetrology. To demonstrate the value of thetechnology, waferswith multiple etchrecipes that lead tovarying z-profileswere prepared andcharacterized withdestructive imagingmetrology to acquireFigure 7. CD precision expressed as 3sigma as a % of thereference data toaverage CD measured at different heights of the channelevaluate the IRCDhole.Semiconductor Digest March 2021 19

MetrologyFigure 8. Optical penetration depth in the (a) ultraviolet to near-infrared and the (b) mid-infrared spectral regimes of typicalgraphitic amorphous carbon hardmask materials.as 3sigma, where sigma is the standarddeviation of the measurements of asingle point. The data demonstrateAngstrom-level precision with uncertainties 0.2% of the nominal CD values.Good precision is a typical attribute ofellipsometry measurements. In addition, from the modeling perspective,to preserve precision, we also employmathematically complete functions toexpress the channel hole profile that arestable for regression algorithms for experimental data fitting. As functions aremodeled, there is no limit to the numberof reported CDs at various heights.Carbon hardmask etchThe 3D NAND channel hole patterningcurrently uses an amorphous carbonhardmask, which is itself an HARhole structure. The thickness of thehardmask in most 3D NAND patterningschemes is 2 m or greater. Figure 8plots optical penetration depth in theultraviolet to near-infrared and themid-infrared for a typical graphiticamorphous carbon hardmask material.The increase in optical penetrationwith increasing wavelength is ageneral feature of these hardmaskmaterials. The low penetration depthin the ultraviolet to the near-infraredspectral range makes even blanket filmthickness measurement nearly impossible at production targets. However,there is an order of magnitude increasein the optical penetration in the mid-infrared, implying greater measurementcapability.Because of the lack of probe sensitivityat production thicknesses of graphiticamorphous carbon hardmask films andstructures, the most critical feature of auseful metrology technique is sufficientprobe penetration. In a reflective technique, as is common for ellipsometry, optical penetration generally needs to occurover at least two pathlengths. Figure 9Figure 9. Measured Mueller matrix elements (M33 on left and M34 on right) of a typical amorphous carbon hardmask etchstructures. The blue curves are the experimentally measured signals, and the red curves are from IRCD model calculations.20 March 2021 Semiconductor Digestwww.semiconductordigest.com

MetrologyFigure 10. Simulated mid-infrared spectral response of a 10 nm change in thickness of a 2.5 m thick amorphous carbonetch structure on top of a 6 m thick 3D NAND stack.shows a typical IRCD spectrum froman etched amorphous carbon hardmaskwith film thickness 2.5 m, typical ofadvanced node 3D NAND structures,that is on top of a 3D NAND silicondioxide and silicon nitride superlatticeexceeding 5 m. The oscillations visiblefrom 5000-8000 nm in spectral rangeare thickness fringes of the superlattice,indicating light penetration through notonly the amorphous carbon layer but alsothe entire 3D NAND stack. The lack ofoscillations beyond 8000 nm wavelengthis due to the absorption bands of thesilicon dioxide and silicon nitride.Alternatively, we can illustratethe light interaction by a sensitivitysimulation of a thickness change inamorphous carbon, as in Figure 10.The spectral sensitivity covers the entirewavelength range. Even in the flatportion of the spectral regime (800010500 nm) there is optical penetrationinto the amorphous carbon layer, witha reflection at the amorphous carboninterface with the 3D NAND stack.With probe sensitivity across theentire spectral range to the bottom ofthe amorphous carbon structure, we canachieve nondestructive metrology ofthe BCD as well, and Figure 11a showsaccurate matching to a destructiveimaging reference. The corresponding3sigma precision is 3.4 Å. Figure11bshows typical IRCD z-profiles.www.semiconductordigest.comFigure 11. (a) BCD correlation between IRCD with reference metrology. (b) IRCDfitted amorphous carbon etch profiles.ConclusionThis work explores how IRCDaddresses the shortcomings of conventional ultraviolet to near-infraredOCD in channel hole etch high-fidelityz-profile and amorphous carbonhardmask etch BCD metrology. Thenovel IRCD technology and modelingenable HAR 3D NAND etch processmonitoring and feedback in both thedevelopment and high-volume manufacturing phases for current and nextgeneration 3D-NAND structures. Weexpect to discover other IRCD applications as we continue to explore thephysics of light interaction with relevantmaterials and structures, particularly when observed mid-IR opticalphenomena differ from those seen attraditional OCD wavelength ranges.AcknowledgementsThe work described in this article wasfirst presented at the 2021 SPIE conference on Advanced Lithography. Amore extensive treatment is available inthe conference proceedings. The authorsgratefully acknowledge valuable contributions of many at Onto Innovationand Micron, including Nick Keller, TroyRibaudo, Franklin J. Wong, WenmeiMing, Hepeng Ding, Zhuo Chen, RossGrynko, Ahmad Fumani, Zhuan Liu,John Hauck, Joshua Frederick, DanEngelhard, Biow Hiem Ong, and LueyChwan Liong.Semiconductor Digest March 2021 21

metrology. The depth sensitivity illustrated in Figures 2 and 3 provides the basis for IRCD z-profile metrology. To demon-strate the value of the technology, wafers with multiple etch recipes that lead to varying z-profiles were prepared and characterized with destructive imaging metrolog