MPC107EC:MPC107 PCI Bridge/Memory Controller Hardware Specifications - NXP

Transcription

Freescale Semiconductor, Inc.Advance InformationMPC107EC/DRev. 4, 7/2003Freescale Semiconductor, Inc.MPC107PCI Bridge/Memory ControllerHardware SpecificationsThis document provides an overview of the MPC107 PCI bridge/memory controller(PCIB/MC) for high-performance embedded systems. The MPC107 is a cost-effective,general-purpose PCIB/MC for applications using PCI in networking infrastructure,telecommunications, and other embedded markets. It can be used in applications such asnetwork routers and switches, mass storage subsystems, network appliances, and print andimaging systems.This document describes pertinent electrical and physical characteristics of the MPC107. Forfunctional characteristics of the processor, refer to the MPC107 PCI Bridge/MemoryController User’s Manual (MPC107UM/D).This document contains the following topics:TopicPageSection 1.1, “Overview”1Section 1.2, “Features”2Section 1.3, “General Parameters”4Section 1.4, “Electrical and Thermal Characteristics”4Section 1.5, “Package Description”29Section 1.6, “PLL Configuration”37Section 1.7, “System Design Information”37Section 1.8, “Document Revision History”44Section 1.9, “Ordering Information”47To locate any published errata or updates for this document, refer to the web site ewThe MPC107 integrates a PCI bridge, memory controller, DMA controller, PIC timers, amessage unit with an Intelligent Input/Output (I2O) message controller, and anInter-Integrated Circuit (I2C) controller. The integration reduces the overall packagingrequirements and the number of discrete devices required for an embedded system.Figure 1 shows the major functional units within the MPC107. Note that this is a conceptualblock diagram intended to show basic features rather than an attempt to show how thesefeatures are physically implemented.For More Information On This Product,Go to: www.freescale.com

Freescale Semiconductor, Inc.Features60x InterfaceAdditional Features: Programmable I/Owith Watchpoint JTAG/COP Interface Power ManagementMPC10760x Bus Interface (64- or 32-Bit Data Bus)Peripheral Logic BlockFreescale Semiconductor, Inc.MessageUnit(with I2O)Address(32-Bit)Data (64-Bit)CentralControlUnitDMAControllerData PathECC ControllerData Bus(64- or 32-Bit)with 8-Bit Parityor ECCMemoryControllerMemory/ROM/Port X Control/AddressConfigurationRegistersI2C5 Direct/16 r/TimersPCI BusInterface UnitAddressTranslator32-BitPCI InterfacePCIArbiterFiveRequest/GrantPairsSDRAM SYNC INDLLSDRAM ClocksCPU ClocksPLLPCI SYNC INFanoutBuffersPCI BusClocksOSC INFigure 1. MPC107 Block Diagram1.2FeaturesThe MPC107 provides an integrated high-bandwidth, high-performance interface for up to two 60xprocessors, the PCI bus, and main memory. This section summarizes the major features of the MPC107, asfollows: Memory interface— 64-/32-bit 100-MHz bus— Programmable timing supporting either FPM DRAM, EDO DRAM, or SDRAM— High-bandwidth bus (32-/64-bit data bus) to DRAM— Supports one to eight banks of 4-, 16-, 64-, or 128-Mbit memory devices, and up to four banksof 256-Mbit SDRAM devices— Supports 1-Mbyte to 1-Gbyte DRAM memory— 144 Mbytes of ROM space— 8-, 32-, or 64-bit ROM— Write buffering for PCI and processor accesses— Supports normal parity, read-modify-write (RMW), or ECC— Data-path buffering between memory interface and processor2MPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.comMOTOROLA

Freescale Semiconductor, Inc.Features— Low-voltage TTL logic (LVTTL) interfaces— Port X: 8-, 32-, or 64-bit general-purpose I/O port using ROM controller interface withprogrammable address strobe timing 32-bit PCI interface operating up to 66 MHz— PCI 2.1-compliant— PCI 5.0-V tolerance— Support for PCI locked accesses to memory— Support for accesses to PCI memory, I/O, and configuration spaces— Selectable big- or little-endian operation— Store gathering of processor-to-PCI write and PCI-to-memory write accessesFreescale Semiconductor, Inc.— Memory prefetching of PCI read accesses— Selectable hardware-enforced coherency— PCI bus arbitration unit (five request/grant pairs)— PCI agent mode capability— Address translation unit— Some internal configuration registers accessible from PCI Two-channel integrated DMA controller (writes to ROM/Port X not supported)— Supports direct mode or chaining mode (automatic linking of DMA transfers)— Supports scatter gathering—read or write discontinuous memory— Interrupt on completed segment, chain, and error— Local-to-local memory— PCI-to-PCI memory— PCI-to-local memory— PCI memory-to-local memory Message unit— Two doorbell registers— An extended doorbell register mechanism that facilitates interprocessor communicationthrough interrupts in a dual-local-processor system— Two inbound and two outbound messaging registers— I2O message controller I2C controller with full master/slave support (except broadcast all) Programmable interrupt controller (PIC)— Five hardware interrupts (IRQs) or 16 serial interrupts— Four programmable timers Integrated PCI bus, CPU, and SDRAM clock generation Programmable PCI bus, 60x, and memory interface output drivers Dynamic power management supporting 60x nap, doze, and sleep modes Programmable input and output signals with watchpoint capabilityMOTOROLAMPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.com3

Freescale Semiconductor, Inc.General Parameters Built-in PCI bus performance monitor facility Debug features— Error injection/capture on data path— IEEE 1149.1 (JTAG)/test interface Processor interface— Supports up to two PowerPC microprocessors with 60x bus interface— Supports various operating frequencies and bus divider ratios— 32-bit address bus, 64-/32-bit data bus supported at 100 MHz— Supports full memory coherencyFreescale Semiconductor, Inc.— Supports optional local bus slave— Decoupled address and data buses for pipelining of 60x accesses— Store gathering on 60x-to-PCI writes— Concurrent transactions on 60x and PCI buses supported1.3General ParametersThe following list provides a summary of the general parameters of the MPC107:1.4Technology0.29 µm CMOS, five-layer metalDie size50 mm2Transistor count0.96 millionLogic designFully-staticPackageSurface mount 503 flip chip plastic ball grid array (FC-PBGA)Core power supply2.5 V 5% V DC (nominal; see Table 2 for recommended operatingconditions)I/O power supply3.0 to 3.6 V DCElectrical and Thermal CharacteristicsThis section provides the AC and DC electrical specifications and thermal characteristics for the MPC107.1.4.1DC Electrical CharacteristicsThe following sections describe the absolute maximum ratings, recommended operating conditions, DCelectrical specifics, characteristics of the output drivers, power consumption estimates, and thermalcharacteristics for the MPC107.4MPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.comMOTOROLA

Freescale Semiconductor, Inc.Electrical and Thermal Characteristics1.4.1.1Absolute Maximum RatingsThe tables in this section describe the MPC107 DC electrical characteristics. Table 1 provides the absolutemaximum ratings.Table 1. Absolute Maximum RatingsCharacteristic 1SymbolRangeUnitVDD–0.3 to 2.75VSupply voltage—memory bus driversGVDD–0.3 to 3.6VSupply voltage—processor bus driversBVDD–0.3 to 3.6VSupply voltage—PCI and standard I/O buffersOVDD–0.3 to 3.6VSupply voltage—PLLs and DLLAVDD/LAVDD–0.3 to 2.75VSupply voltage—PCI referenceLVDD–0.3 to 5.4VInput voltageVin–0.3 to 3.6VOperational die-junction temperature rangeTj0 to 105 CTstg–55 to 150 CFreescale Semiconductor, Inc.Supply voltage—coreStorage temperature rangeNotes2Notes:1. Functional and tested operating conditions are given in Table 2. Absolute maximum ratings are stress ratings only,and functional operation at the maximums is not guaranteed. Stresses beyond those listed may affect devicereliability or cause permanent damage to the device.2. PCI inputs with LVDD 5 V 5% V DC may be correspondingly stressed at voltages exceeding LVDD 0.5 V DC.MOTOROLAMPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.com5

Freescale Semiconductor, Inc.Electrical and Thermal Characteristics1.4.1.2Recommended Operating ConditionsTable 2 provides the recommended and tested operating conditions for the MPC107. Proper deviceoperation outside of these conditions is not guaranteed.Table 2. Recommended Operating ConditionsSymbolRecommendedValueUnitNotesVDD2.5 5%V4Supply voltages for memory bus driversGVDD3.3 5%V6Supply voltages for processor bus driversBVDD3.3 5%V6CharacteristicSupply voltageFreescale Semiconductor, Inc.2.5 5%I/O buffer supply for PCI and standardOVDD3.3 0.3V4PLL supply voltageAVDD2.5 5%V5DLL supply voltageLAVDD2.5 5%V5LVDD5.0 5%V7, 83.3 0.3V7, 80 to 3.6 or 5.75V1, 20 to 3.6V30 to 105 CPCI referenceInput voltagePCI inputsVinAll other inputsDie-junction temperatureTjNotes:1. PCI pins are designed to withstand LVDD 0.5 V DC when LVDD is connected to a 5.0-V DC power supply.2. PCI pins are designed to withstand LVDD 0.5 V DC when LVDD is connected to a 3.3-V DC power supply.Cautions:3. Input voltage (Vin) must not be greater than the supply voltage (VDD/AVDD/LAVDD) by more than 2.5 V at all times,including during power-on reset.4. OVDD must not exceed VDD/AVDD/LAVDD by more than 1.8 V at any time, including during power-on reset. Thislimit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences.5. VDD/AVDD/LAVDD must not exceed OVDD by more than 0.6 V at any time, including during power-on reset. Thislimit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences.6. BVDD/GVDD must not exceed VDD/AVDD/LAVDD by more than 1.8 V at any time, including during power-on reset.This limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences.7. LVDD must not exceed VDD/AVDD/LAVDD by more than 5.4 V at any time, including during power-on reset. Thislimit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences.8. LVDD must not exceed OVDD by more than 3.6 V at any time, including during power-on reset. This limit may beexceeded for a maximum of 20 ms during power-on reset and power-down sequences.6MPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.comMOTOROLA

Freescale Semiconductor, Inc.Electrical and Thermal CharacteristicsFreescale Semiconductor, Inc.DC Power Supply VoltageFigure 2 shows supply voltage sequencing and separation cautions.LVDD @ 5 V5V83.3 V7Note 18OVDD/BVDD/GVDD/(LVDD @ 3.3 V - - - -)74, 652.5 VVDD/AVDD/LAVDDVDD Stable100 µsPLLRelockTime 20VoltageRegulatorDelayPower Supply Ramp UpTimeHRESETAsserted 255External MemoryClock Cycles 2ResetConfiguration Pins9 External MemoryClock Cycles Setup Time 3VM 1.4 VHRESETMaximum Rise Time Must be Less ThanOne External Memory Clock Cycle 45VM 1.4 VHRESET CPUNotes:1. Numbers associated with waveform separations correspond to caution numbers listed in Table 2.2. Refer to Table 8 for additional information on PLL relock and reset signal assertion timing requirements.3. Refer to Table 9 for additional information on reset configuration pin setup timing requirements.4. HRESET must transition from a logic 0 to a logic 1 in less than one SDRAM SYNC IN clock cycle for thedevice to be in the non-reset state.5. HRESET CPU negates 217 memory clock cycles after HRESET negates.Figure 2. Supply Voltage Sequencing and Separation CautionsMOTOROLAMPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.com7

Freescale Semiconductor, Inc.Electrical and Thermal CharacteristicsFigure 3 shows the undershoot and overshoot voltage for the MPC107 memory interface.4VVIHGVDD 5%GVDDGNDGND – 0.3 VVILFreescale Semiconductor, Inc.GND – 1.0 VNot to Exceed 10%of tSDRAM CLKFigure 3. Overshoot/Undershoot VoltageFigure 4 and Figure 5 show the undershoot/overshoot voltage of the PCI interface for 3.3- and 5-V signals,respectively.11 ns(Min) 7.1 V7.1 V p-to-p(Min)OvervoltageWaveform4 ns(Max)0V4 ns(Max)62.5 ns 3.6 V7.1 V p-to-p(Min)UndervoltageWaveform–3.5 VFigure 4. Maximum AC Waveforms for 3.3-V Signaling8MPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.comMOTOROLA

Freescale Semiconductor, Inc.Electrical and Thermal Characteristics11 ns(Min) 7.1 V7.1 V p-to-p(Min)OvervoltageWaveform0V4 ns(Max)4 ns(Max)62.5 ns 3.6 VFreescale Semiconductor, Inc.UndervoltageWaveform7.1 V p-to-p(Min)–3.5 VFigure 5. Maximum AC Waveforms for 5-V Signaling1.4.1.3DC Electrical SpecificationsTable 3 provides the DC electrical characteristics for the MPC107.Table 3. DC Electrical SpecificationsAt recommended operating conditions (See Table 2)Conditions 1CharacteristicsSymbolMinMaxUnitNotes2, 3Input high voltagePCI onlyVIH0.65 OVDDLVDDVInput low voltagePCI onlyVIL—0.3 OVDDVInput high voltageAll other pins (GVDD 3.3 V)VIH2.0—V2All other pins (BVDD 2.5 V)VIH1.7—V2All inputs exceptPCI SYNC INVILGND0.8VPCI SYNC IN input highvoltageCVIH2.4—VPCI SYNC IN input lowvoltageCVILGND0.4VIL— 70µA4IL— 10µA4Input low voltageInput leakage current for0.5 V Vin 2.7 Vpins using DRV PCI driver @ LVDD 4.75 VInput leakage current allothersLVDD 3.6 V (GVDD 3.465 V)Output high voltageIOH driver dependent(GVDD 3.3 V)VOH2.4—V5Output low voltageIOL driver dependent(GVDD 3.3 V)VOL—0.4V5MOTOROLAMPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.com9

Freescale Semiconductor, Inc.Electrical and Thermal CharacteristicsTable 3. DC Electrical Specifications (continued)At recommended operating conditions (See Table 2)CharacteristicsOutput high voltageFreescale Semiconductor, Inc.Output low voltageCapacitanceConditions 1SymbolMinMaxUnitNotesIOH driver dependent(BVDD 2.5 V)All outputs exceptCPU CLK[0:2]VOH1.85—V5IOH driver dependent(BVDD 2.5 V)CPU CLK[0:2] onlyVOH2.0—V5IOL driver dependent(BVDD 2.5 V)All outputs exceptCPU CLK[0:2]VOL—0.4V5IOL driver dependent(BVDD 2.5 V)CPU CLK[0:2] onlyVOL—0.3V5Vin 0 V, f 1 MHzCin—7.0pF6Notes:1. These specifications are for the default driver strengths indicated in Table 4.2. See Figure 17 for pins with internal pull-up resistors.3. The minimum input high voltage is not compliant with the PCI Local Bus Specification (Rev 2.1), which specifies0.5 OVDD for minimum input high voltage.4. Leakage current is measured on input and output pins in the high-impedance state. The leakage current ismeasured for nominal OVDD/LVDD and VDD or both OVDD/LVDD and VDD must vary in the same direction.5. See Table 4 for the typical drive capability of a specific signal pin based on the type of output driver associatedwith that pin as listed in Table 17.6. Capacitance is periodically sampled rather than 100% tested.10MPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.comMOTOROLA

Freescale Semiconductor, Inc.Electrical and Thermal Characteristics1.4.1.4Output Driver CharacteristicsTable 4 provides information on the characteristics of the output drivers referenced in Table 17. The valuesare from the MPC107 IBIS model (v1.1) and are not tested. For additional detailed information, see thecomplete IBIS model listing at http://www.motorola.com/semiconductors.Table 4. Drive Capability of MPC107 Output PinsProgrammableOutput Impedance(Ω)SupplyVoltageIOHIOLUnitNotes20BVDD 3.3 V36.618.1mA2, 5BVDD 2.5 V21.415.6mA3, 6, 7BVDD 3.3 V18.69.2mA2, 5BVDD 2.5 V10.87.9mA3, 6, 725OVDD 3.3 V12.012.4mA1, 450 (default)OVDD 3.3 V6.16.3mA1, 48 (default)GVDD 3.3 V89.042.3mA2, 513.3GVDD 3.3 V55.826.4mA2, 5DRV MEM CLK20GVDD 3.3 V36.618.1mA2, 5DRV PCI CLK40GVDD 3.3 V18.69.2mA2, 520 (default)GVDD 3.3 V36.618.1mA2, 540GVDD 3.3 V18.69.2mA2, 5Driver TypeDRV CPUFreescale Semiconductor, Inc.40 (default)DRV PCIDRV CPU CLKDRV MEM CTRLDRV MEM DATANotes:1. For DRV PCI, IOH read from the IBIS listing in the pull-up mode, I(Min) column, at the 0.33-V label by interpolatingbetween the 0.3- and 0.4-V table entries’ current values which corresponds to the PCI VOH 2.97 0.9 OVDD(OVDD 3.3 V), where table entry voltage OVDD – PCI VOH.2. For all others with GVDD or BVDD 3.3 V, IOH read from the IBIS listing in the pull-up mode, I(Min) column, at the0.9-V table entry which corresponds to the VOH 2.4 V, where table entry voltage G/BVDD – VOH.3. For all others with BVDD 2.5 V, IOH read from the IBIS listing in the pull-up mode, I(Min) column, at the 0.65-Vtable entry by interpolating between the 0.6- and 0.7-V table entries’ current values which corresponds to theVOH 1.85 V, where table entry voltage BVDD – VOH.4. For DRV PCI, IOL read from the IBIS listing in the pull-down mode, I(Min) column, at 0.33 V PCI VOL 0.1 OVDD (OVDD 3.3 V) by interpolating between the 0.3-and 0.4-V table entries.5. For all others with GVDD or BVDD 3.3 V, IOL read from the IBIS listing in the pull-down mode, I(Min) column, atthe 0.4-V table entry.6. For all others with BVDD 2.5 V, IOL read from the IBIS listing in the pull-down mode, I(Min) column, at the 0.4-Vtable entry.7. For BVDD 2.5 V, the IOH and IOL values are estimated from the io mem data XX 2.5 andio mem addr XX 2.5 sections of the IBIS model, where XX driver output impedance (20 or 40 Ω).MOTOROLAMPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.com11

Freescale Semiconductor, Inc.Electrical and Thermal Characteristics1.4.1.5Power CharacteristicsTable 5 provides the preliminary power consumption estimates for the MPC107. Power consumption on thePLL supply pin (AVDD) and the DLL supply pin (LAVDD) 15 mW. This information is based oncharacterization data.Table 5. Power ConsumptionPCI SYNC IN/Core Frequency (MHz)25/50Freescale Semiconductor, Inc.Mode33/3333/6666/100UnitNotes1122mW1, 2915mW1, 6697118636235800350Nap13974493693185420276970mW1, 2Sleep7971845677102841138939mW1, 2Notes:1. Power is measured with VDD 2.625 V, GVDD OVDD BVDD 3.45 V at 0 C and one DIMM populated in testsystem.2. All clock drivers enabled.1.4.1.6Thermal CharacteristicsTable 6 provides the package thermal characteristics for the MPC107. Refer to Section 1.7, “System DesignInformation,” for more details about thermal management.Table 6. FC-PBGA Package Thermal unction-to-ambient natural convection(Single-layer board—1s)RθJA30 C/W1, 2Junction-to-ambient natural convection(Four-layer board—2s2p)RθJMA26 C/W1, 3Junction-to-ambient (@200 ft/min)(Single-layer board—1s)RθJMA25 C/W1, 3Junction-to-ambient (@200 ft/min)(Four-layer board—2s2p)RθJMA22 C/W1, 3Junction-to-boardRθJB20 C/W4Junction-to-caseRθJC 0.1 C/W5Notes:1. Junction temperature is a function of on-chip power dissipation, package thermal resistance, mounting site (board)temperature, ambient temperature, airflow, power dissipation of other components on the board, and boardthermal resistance.2. Per SEMI G38-87 and JEDEC JESD51-2 with the single-layer board horizontal.3. Per JEDEC JESD51-6 with the board horizontal.4. Thermal resistance between the die and the printed-circuit board per JEDEC JESD51-8. Board temperature ismeasured on the top surface of the board near the package.5. Thermal resistance between the die and the case top surface without thermal grease.12MPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.comMOTOROLA

Freescale Semiconductor, Inc.Electrical and Thermal Characteristics1.4.2AC Electrical CharacteristicsThis section provides the AC electrical characteristics for the MPC107. After fabrication, functional partsare sorted by maximum core frequency as shown in Table 7 and Section 1.4.2.1, “Clock AC Specifications,”and tested for conformance to the AC specifications for that frequency. The core frequency is determinedby the bus (PCI SYNC IN) clock frequency and the settings of the PLL CFG[0:3] signals. Parts are soldby maximum processor core frequency; see Section 1.9, “Ordering Information.”Table 7 provides the operating frequency information for the MPC107.Table 7. Operating Frequency 1At recommended operating conditions (See Table 2) with LVDD 3.3 V 0.3 V66 MHz100 MHzFreescale Semiconductor, Inc.Characteristic 2UnitMinMaxMinMax256625100Core (memory bus/processor bus) frequencyPCI input frequency (PCI SYNC IN)12.5–66MHzMHzNotes:1. See Section 1.9.2, “Part Numbers Not Fully Addressed by This Document,” for information on the 133-MHz partoffering.2. The PCI SYNC IN frequency and PLL CFG[0:3] settings must be chosen such that the resulting peripherallogic/memory bus frequency, CPU (core) frequency, and PLL (VCO) frequencies do not exceed their respectivemaximum or minimum operating frequencies. Refer to the PLL CFG[0:3] signal description in Section 1.6, “PLLConfiguration,” for valid PLL CFG[0:3] settings and PCI SYNC IN frequencies.1.4.2.1Clock AC SpecificationsTable 8 provides the clock AC timing specifications as defined in Section 1.4.2.2, “Input AC TimingSpecifications.” These specifications are for the default driver strengths indicated in Table 4.Table 8. Clock AC Timing SpecificationsAt recommended operating conditions (See Table 2) with LVDD 3.3 V 0.3 VNumCharacteristics and ConditionsMinMaxUnitNotes12.566MHz71aFrequency of operation (PCI SYNC IN)1bPCI SYNC IN cycle time8015ns72,3PCI SYNC IN rise and fall times—2.0ns14PCI SYNC IN duty cycle measured at 1.4 V4060%5aPCI SYNC IN pulse width high measured at 1.4 V69ns25bPCI SYNC IN pulse width low measured at 1.4 V69ns27PCI SYNC IN jitter— 150ps9aPCI CLK[0:4] skew (pin-to-pin)—500ps9bSDRAM CLK[0:3] skew (pin-to-pin)—350ps9cCPU CLK[0:2] skew (pin-to-pin)—350ps9dSDRAM CLK[0:3]/CPU CLK[0:2] jitter—150ps10Internal PLL relock time—100µsMOTOROLAMPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.com2, 3, 513

Freescale Semiconductor, Inc.Electrical and Thermal CharacteristicsTable 8. Clock AC Timing Specifications (continued)At recommended operating conditions (See Table 2) with LVDD 3.3 V 0.3 VFreescale Semiconductor, Inc.NumCharacteristics and ConditionsMinMaxUnitNotes15DLL lock range with DLL STANDARD 1 (default)See Figure 8ns616DLL lock range with DLL STANDARD 0See Figure 9ns617Frequency of operation (OSC IN)1812.566MHz7OSC IN cycle time8015ns719OSC IN rise and fall times—5ns420OSC IN duty cycle measured at 1.4 V4060%21OSC IN frequency stability—100ppmNotes:1. Rise and fall times for the PCI SYNC IN input are measured from 0.4 to 2.4 V.2. Specification value at maximum frequency of operation.3. Relock time is guaranteed by design and characterization. Relock time is not tested.4. Rise and fall times for the OSC IN input are guaranteed by design and characterization. OSC IN input rise andfall times are not tested.5. Relock timing is guaranteed by design. PLL-relock time is the maximum amount of time required for PLL lock aftera stable VDD and PCI SYNC IN are reached during the reset sequence. This specification also applies when thePLL has been disabled and subsequently re-enabled during sleep mode. Also note that HRESET must be heldasserted for a minimum of 255 bus clocks after the PLL-relock time during the reset sequence.6. DLL STANDARD is bit 7 of the PMC2 register 72 . N is a non-zero integer (1 or 2). Tclk is the period of oneSDRAM SYNC OUT clock cycle in ns. tloop is the propagation delay of the DLL synchronization feedback loop(PC board runner) from SDRAM SYNC OUT to SDRAM SYNC IN in ns; 6.25 inches of loop length (unloadedPC board runner) corresponds to approximately 1 ns of delay. See Figure 8 and Figure 9 for DLL locking ranges.7. See Table 18 for PCI SYNC IN input frequency range for specific PLL CFG[0:3] settings.14MPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.comMOTOROLA

Freescale Semiconductor, Inc.Electrical and Thermal CharacteristicsFigure 6 shows PCI SYNC IN input clock timing, Figure 7 illustrates how the clock specifications inTable 8 relate to the MPC107 clocking. Figure 8 and Figure 9 shows the DLL locking range loop delay.15a5b23CVIHPCI SYNC INVMVMVMCVILVM Midpoint Voltage (1.4 V)Freescale Semiconductor, Inc.Figure 6. PCI SYNC IN Input Clock Timing DiagramMPC107CPU CLK[0:2]Specs. 15,16DLLSpec. 10PLLSpecs. 9c, 9dSDRAM CLK[0:3]Specs. 9b, 9dSDRAM SYNC OUTSDRAM SYNC INsys logic clkPCI SYNC INSpecs. 1–7PCI SYNC OUTOSC INSpecs. 17–23Spec. 9aCore LogicPCI CLK[0:4]Note: Specification numbers are from Table 8.Figure 7. Clock Subsystem Block DiagramMOTOROLAMPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.com15

Freescale Semiconductor, Inc.Electrical and Thermal CharacteristicsFreescale Semiconductor, Inc.Tclk SDRAM SYNC OUT Period (ns)5045Tclk 1.8 Tloop 27.9 ns403530Tclk 2.2 Tloop 11.88 ns25Tclk 0.6 Tloop 9.27 ns201510Tclk 0.7 Tloop 3.96 ns50051015Tloop Propagation Delay Time (ns)Figure 8. DLL Locking Range Loop Delay (DLL STANDARD 0)Tclk SDRAM SYNC OUT Period (ns)504540353025Tclk 0.9 Tloop 13.95 ns20Tclk 1.1 Tloop 5.94 nsTclk 0.45 Tloop 6.98 ns15105Tclk 0.55 Tloop 2.97 ns0051015Tloop Propagation Delay Time (ns)Figure 9. DLL Locking Range Loop Delay (DLL STANDARD 1)16MPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.comMOTOROLA

Freescale Semiconductor, Inc.Electrical and Thermal Characteristics1.4.2.2Input AC Timing SpecificationsTable 9 provides the input AC timing specifications. See Figure 10 and Figure 11.Table 9. Input AC Timing SpecificationsAt recommended operating conditions (see Table 2) with LVDD 3.3 V 0.3 VFreescale Semiconductor, Inc.NumCharacteristicMinMaxUnitNotes10aPCI input signals valid to PCI SYNC IN (input setup)3.0—ns2, 310bMemory interface signals valid to SDRAM SYNC IN (input setup)2.0—ns1, 310cPIC, misc. debug input signals valid to SDRAM SYNC IN (input setup)2.0—ns1, 310dI2C input signals valid to SDRAM SYNC IN (input setup)2.0—ns1, 310eMode select inputs valid to HRESET (input setup)9 tCLK—ns3, 4, 510f60x processor interface signals valid to SDRAM SYNC IN(input setup)2.0—ns1, 311a1 PCI SYNC IN (SDRAM SYNC IN) to inputs invalid (input hold)1.0—ns2, 311a2 Memory interface signals SDRAM SYNC IN to inputs invalid(input hold)0.5—ns1, 30—ns1, 30—ns1, 3, 511a3 60x processor interface signals SDRAM SYNC IN to inputs invalid(input hold)11bHRESET to mode select inputs invalid (input hold)Notes:1. All memory, processor, and related interface input signal specifications are measured from the TTL level (0.8 or2.0 V) of the signal in question to the VM 1.4 V of the rising edge of the memory bus clock, SDRAM SYNC IN.SDRAM SYNC IN is the same as PCI SYNC IN in 1:1 mode, but is twice the frequency in 2:1 mode(processor/memory bus clock rising edges occur on every rising and falling edge of PCI SYNC IN). SeeFigure 10.2. All PCI signals are measured from OVDD/2 of the rising edge of PCI SYNC IN to 0.4 OVDD of the signal inquestion for 3.3-V PCI signaling levels. See Figure 11.3. Input timings are measured at the pin.4. tCLK is the time of one SDRAM SYNC IN clock cycle.5. All mode select input signal specifications are measured from the TTL level (0.8 or 2.0 V) of the signal in questionto the VM 1.4 V of the rising edge of the HRESET signal. See Figure 12.MOTOROLAMPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.com17

Freescale Semiconductor, Inc.Electrical and Thermal CharacteristicsFigure 10 shows input-output timing referenced to SDRAM SYNC IN and Figure 11 the input-outputtiming referenced to PCI SYNC IN.PCI SYNC INSDRAM SYNC IN(Shown in 2:1 Mode)VMVMVM10b-dFreescale Semiconductor, Inc.13b12b-d11a2.0 V2.0 V0.8 V0.8 V14bMemoryInputs/OutputsInput TimingVM Midpoint Voltage (1.4 V)Output TimingFigure 10. Input-Output Timing Diagram Referenced to SDRAM SYNC INOVDD 2PCI SYNC INOVDD 2OVDD 210a13a12a11aPCIInputs/Outputs14a0.615 OVDD0.4 OVDD0.285 OVDDOutput TimingInput TimingFigure 11. Input-Output Timing Diagram Referenced to PCI SYNC INFigure 12 shows input timing for mode select signals.VMHRESET10e11bMode Pins2.0 V0.8 VVM Midpoint Voltage (1.4 V)Figure 12. Input Timing Diagram for Mode Select Signals18MPC107 PCI Bridge/Memory Controller Hardware SpecificationsFor More Information On This Product,Go to: www.freescale.comMOTOROLA

Freescale Semiconductor, Inc.Electrical and Thermal Characteristics1.4.2.3Output AC Timing SpecificationTable 10 provides the processor bus AC timing specifications for the MPC107. See Figure 10 and Figure 11.Table 10. Output AC Timing SpecificationsAt recommended operating conditions (see Table 2) with LVDD 3.3 V 0.3 VCharacteristic 3, 6NumMinMaxUnitNotesPCI SYNC IN to output valid, 66 MHz PCI, with SDMA4pulled-down to logic 0 state (see Figure 11)—6.0ns2, 4PCI SYNC IN to output valid, 33 MHz PCI, with SDMA4 in thedefault logic 1 state (see Figure 11)—11.0ns2, 412bMemory interface signals, SDRAM SYNC IN to output valid—5.5ns112b1Memory interface signal, CKE (100-MHz device),SDRAM SYNC IN to output valid—5.5ns112b2Memory interface signal, CKE (66-MHz device), SDRAM SYNC INto output

network routers and switches, mass storage subsystems, network appliances, and print and . — PCI 2.1-compliant — PCI 5.0-V tolerance — Support for PCI locked accesses to memory — Support for accesses to PCI memory, I/O, and configuration spaces — Selectable big- or little-endian operation