Abstract A Multiscale Model For An Atomic Layer Deposition . - Umd

Transcription

ABSTRACTTitle of dissertation:A MULTISCALE MODELFOR AN ATOMIC LAYERDEPOSITION PROCESSVivek Hari Dwivedi, Doctor of Philosophy, 2010Dissertation directed by:Professor Raymond A. AdomaitisDepartment of Chemical EngineeringAtomic layer deposition (ALD) is a deposition technique suitable for the controlled growth of thin films. During ALD, precursor gasses are supplied to thereactor in an alternating sequence producing individual atomic layers through selflimiting reactions. Thin films are grown conformally with atomic layer control oversurfaces with topographical features.A very promising material system for ALD growth is aluminum oxide. Aluminum oxide is highly desirable for both its physical and electronic characteristics.Aluminum oxide has a very high band gap (v 9 ev) and a high dielectric constant(k v 9). The choice of precursors for aluminum oxide atomic layer deposition varyfrom aluminum halide, alkyl, and alkoxides for aluminum-containing molecules; foroxygen-containing molecules choices include oxygen, water, hydrogen peroxide andozone.For this work a multiscale simulation is presented where aluminum oxide isdeposited inside anodic aluminum oxide (AAO) pores for the purposes of tuning the

pore diameter. Controlling the pore diameter is an import step in the conversion ofAAO into nanostructered catalytic membranes (NCM). Shrinking the pore size toa desired radius allows for the control of the residence time for molecules enteringthe pore and a method for molecular filtration. Furthermore pore diameter controlwould allow for the optimization of precursor doses making this a green process.Inherently, the ALD of AAO is characterized by a slow and a faster time scalewhere film growth is on the order of minutes and hours and surface reactions are nearinstantaneous. Likewise there are two length scales: film thickness and compositionon the order of nanometers and pore length on the order of microns. The surfacegrowth is modeled in terms of a lattice Monte Carlo simulation while the diffusionof the precursor gas along the length of the pore is modeled as a Knudsen diffusionbased transport model.

A Multiscale Model for an Atomic Layer Deposition ProcessbyVivek Hari DwivediDissertation submitted to the Faculty of the Graduate School of theUniversity of Maryland, College Park in partial fulfillmentof the requirements for the degree ofDoctor of Philosophy2010Advisory Committee:Professor Raymond A. Adomaitis, Chair/AdvisorProfessor Sheryl EhrmanProfessor Panagiotis DimitrakopoulosProfessor Jeffery KlaudaProfessor Gary Rubloff

c Copyright byVivek Hari Dwivedi2010

Table of ContentsList of Figuresv1 Introduction1.1 Outline of Thesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13List of Abbreviations12 A Review of Atomic Layer Deposition2.1 Overview . . . . . . . . . . . . . . . . . . . . . . . .2.2 Thin Film Deposition: CVD and ALD . . . . . . .2.3 CVD . . . . . . . . . . . . . . . . . . . . . . . . . .2.4 ALD Overview . . . . . . . . . . . . . . . . . . . .2.5 Fundamental ALD Process . . . . . . . . . . . . . .2.5.1 Benefits of ALD . . . . . . . . . . . . . . . .2.5.2 Limitations of ALD . . . . . . . . . . . . . .2.6 Review of ALD Applications . . . . . . . . . . . . .2.6.1 Microelectronics . . . . . . . . . . . . . . . .2.6.2 Magnetic Heads . . . . . . . . . . . . . . . .2.6.3 Thin Film Electroluminescent Displays . . .2.6.4 Protective Coatings . . . . . . . . . . . . . .2.6.5 Optics . . . . . . . . . . . . . . . . . . . . .2.6.6 Next Generation Catalysts . . . . . . . . . .2.7 ALD Reactors . . . . . . . . . . . . . . . . . . . . .2.7.1 Flow Reactor - Figure [2.3 A.] . . . . . . . .2.7.2 Singular Overhead Injector - Figure [2.3 B.]2.7.3 Shower Head - Figure [2.3 C.] . . . . . . . .2.7.4 Batch Array - Figure [2.3 D.] . . . . . . . .2.8 ALD and Anodic Aluminum Oxide . . . . . . . . .2.8.1 Fabrication Process . . . . . . . . . . . . . .2.8.2 AAO Applications . . . . . . . . . . . . . .44458810101212131314151516161617171719203 ALD Chemistries3.1 Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3.2 ALD Precursors . . . . . . . . . . . . . . . . . . . . . . . . . . . .3.3 TMA and Water ALD . . . . . . . . . . . . . . . . . . . . . . . .3.4 Structure of TMA . . . . . . . . . . . . . . . . . . . . . . . . . . .3.5 Overview of Surface Reactions . . . . . . . . . . . . . . . . . . . .3.6 Saturation Issues in ALD . . . . . . . . . . . . . . . . . . . . . . .3.7 Properties of Al2 O3 Thin Films . . . . . . . . . . . . . . . . . . .3.8 Experimental Verification of Surface Reaction for TMA and Water3.8.1 Thermal Studies . . . . . . . . . . . . . . . . . . . . . . .3.8.2 Water Exposure . . . . . . . . . . . . . . . . . . . . . . . .3.8.3 TMA Exposure With Ligand Exchange Reactions . . . . .222222252832333637383840ii.

3.8.4 TMA Exposure With Dissociation Reactions .3.8.5 Methylated and Hydroxylated Half Reactions3.9 Transition State Theory . . . . . . . . . . . . . . . .3.10 Mass Balance Approach for Surface Species Statistics3.11 Graph Based Data Structures . . . . . . . . . . . . .43444450524 Modeling Surface Structures4.1 Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4.2 Review of Atomistic Scale Simulations for Thin Film Processing4.2.1 Density Functional Theory . . . . . . . . . . . . . . . . .4.2.2 Mean Field Approximations . . . . . . . . . . . . . . . .4.2.3 Molecular Dynamics Simulations . . . . . . . . . . . . .4.2.4 Chapman-Kolmogorov Equation . . . . . . . . . . . . . .4.3 Novel Computational Method for Surface Description . . . . . .4.4 KMC Overview with Elementary Example . . . . . . . . . . . .4.5 Poisson Distribution . . . . . . . . . . . . . . . . . . . . . . . .4.6 Kinetic Monte Carlo Example . . . . . . . . . . . . . . . . . . .4.7 The Lattice . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4.7.0.1 Species occupying the lattice sites . . . . . . . .4.7.1 TMA reactions on the lattice . . . . . . . . . . . . . . .4.7.1.1 Ligand exchange reactions . . . . . . . . . . . .4.7.1.2 Dissociation reactions . . . . . . . . . . . . . .4.7.2 Water reaction . . . . . . . . . . . . . . . . . . . . . . .4.7.3 Monte Carlo simulation procedure . . . . . . . . . . . . .4.7.4 The MC algorithm . . . . . . . . . . . . . . . . . . . . .4.7.5 Initial film growth . . . . . . . . . . . . . . . . . . . . .4.7.5.1 Initial TMA exposure . . . . . . . . . . . . . .4.7.5.2 Water exposure . . . . . . . . . . . . . . . . . .4.8 Film growth under iterated cycles . . . . . . . . . . . . . . . . .4.8.1 GPC and other film growth measures . . . . . . . . . . .4.8.2 Representative film growth . . . . . . . . . . . . . . . . .4.8.3 Influence of φ . . . . . . . . . . . . . . . . . . . . . . . .4.8.4 Sub-saturation experiments . . . . . . . . . . . . . . . .4.8.5 Stability of the ALD growth process . . . . . . . . . . 2858789.919194961021021031041051075 Pore5.15.25.35.45.5TransportOverview . . . . . . . . . . . . . . . . . . . . . .Knudsen Number . . . . . . . . . . . . . . . . .Wall Collision Number . . . . . . . . . . . . . .Wall Collision Number of TMA and Water . . .Distribution of Molecules Post Collision . . . . .5.5.1 Macrostates and Microstates . . . . . . .5.5.2 Second Law of Thermodynamics . . . . .5.5.3 Detailed Balance . . . . . . . . . . . . .5.5.4 Second Derivation of Cosine Distributioniii.

5.65.75.85.9Flux Derivation . . . . . . . . . . . . . . . . . . . . .Knudsen Diffusion . . . . . . . . . . . . . . . . . . .Review of Knudsen Transport Models . . . . . . . . .5.8.1 Knudsen, Smoluchowski and Dushman . . . .5.8.2 Clousing Integral . . . . . . . . . . . . . . . .5.8.3 Random Billiard Model . . . . . . . . . . . . .5.8.4 KTRM . . . . . . . . . . . . . . . . . . . . . .5.8.5 BTRM . . . . . . . . . . . . . . . . . . . . . .5.8.6 Novel Approach for Determining TransmissionMethod of Weighted Residuals . . . . . . . . . . . . .6 Results and Conclusions6.1 Overview . . . . . . . . . .6.1.1 Recipe 1 . . . . . .6.1.2 Recipe 2 . . . . . .6.1.3 Recipe 3 . . . . . .6.1.4 Recipe 4 . . . . . .6.1.5 Recipe 5 . . . . . .6.1.6 Recipe 6 . . . . . .6.1.7 Collocation Points. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Probability. . . . . . 132134135.7 Summery of Dissertation1447 Future Work147Bibliography148iv

List of Figures2.12.22.32.42.5CVD Reactor Schematic . . . . . . .ALD Process Steps . . . . . . . . . .ALD Reactor Types . . . . . . . . .Formation of Nanotubes [1] . . . . .Nanostructured Catalytic Membranes. 7. 9. 18. 20. 213.1A. Structure of monomer TMA. B. Structure of dimer TMA wherethe doted red line is the center of symmetry . . . . . . . . . . . . . .3.2 Graph of Monomer Mole Fraction, xM , as a function of temperatureusing simulation based value for monomer, dimer equilibrium constant, Kd . The green dot represents the reaction condition for thiswork, clearly showing the existence of only the monomeric structure. .3.3 Cartoon illustrating the three different ALD mechanisms: A. LigandExchange B. Dissociation C. Association . . . . . . . . . . . . . . . .3.4 Factors that lead to less then a monolayer of growth per cycle. . . .3.5 Thermal studies from [22] showing infrared absorbances in the hydroxide and methyl stretching regions versus TMA and water exposures at two different temperatures, 300 and 500 K. . . . . . . . . . .3.6 Water deposition studies from [22] showing A. absorbances of porousalumina versus 0.01 water exposure at 500 K. B. Normalized integrated absorbances of OH and CH3 . . . . . . . . . . . . . . . . . . .3.7 TMA deposition studies from [22] showing A. absorbances of porousalumina versus 0.01 TAM exposure at 500 K. B. Normalized integrated absorbances of OH and CH3 . . . . . . . . . . . . . . . . . . .3.8 A series of ligand exchange reactions resulting in tent-like structure .3.9 TMA and water deposition studies from [22] showing the teeteringbetween hydroxylated surface and methylated surface . . . . . . . . .3.10 DFT studies by [100] showing the progression of either A. TMA andhydroxide group forming methane and surface methyl group via transition state theory and B. Water and surface methyl group formingmethane and surface hydroxyl group via transition state theory. . . .4.14.24.34.44.5Simple KMC Flow Process . . . . . . . . . . . . . . . . . . . . . . .The 2-dimensional lattice structure developed to represent the structure of ALD Al2 O3 films. . . . . . . . . . . . . . . . . . . . . . . . .Initial growth surface (top), after TMA exposure (middle), and thenwater (bottom). . . . . . . . . . . . . . . . . . . . . . . . . . . . . .TMA (R1-R4) and water (R5) reactions and their representations onthe lattice. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Sequence of surface reactions taking place during the TMA exposureleading to the surface shown in Fig. 4.3, middle. Index j indicatesthe iteration number in the Monte Carlo simulation procedure. . . .v29313436394041434548. 63. 66. 67. 71. 76

4.6Our simulation results compared to data taken from Figs. 5 and 7 of[22]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4.7 Extent of reaction at 0.5 T orr exposure of TMA and water. . . . . .4.8 Representative film after 250 full ALD cycles; in both the full-scaleand magnified portion, the cyan line indicates the mean film thicknessT 250 and the red lines indicate the surface roughness as T 250 σ. . .4.9 ALD film properties as a function of exposure cycle number. . . . .4.10 Histogram of the frequency of each reaction in the overall depositionprocess. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4.11 A section of highly porous film that results for φ 0.05 (R2 favoredover R1) and after 250 ALD cycles. . . . . . . . . . . . . . . . . . .4.12 Surface roughness as a function of cycle number illustrating the dependence on M . For all cases δA 5 and δW 2.5, and each curveis the average of 100 simulations. . . . . . . . . . . . . . . . . . . . 77. 80. 82. 83. 86. 87. 905.15.25.35.45.55.65.75.8Early observation of distribution of filament vaporization .Modeling approach for different Knudsen Numbers [78] . .Detailed balance using Knudsen argument [99] . . . . . . .Second argument for Cosine Distribution . . . . . . . . . .Differential Volume . . . . . . . . . . . . . . . . . . . . . .Constituent nanopore with dimensionless axial coordinatesRandom Billiard Description . . . . . . . . . . . . . . . . .Schematic for Determining q(s,s’) . . . . . . . . . . . . . 6.106.116.126.136.146.156.16The Initial Pore . . . . . . . . . . . . . . . . . . . . . .Right pore mouth exposed . . . . . . . . . . . . . . . .Left pore mouth exposed . . . . . . . . . . . . . . . . .Left pore mouth exposed . . . . . . . . . . . . . . . . .TMA exposed to right and water left . . . . . . . . . .TMA exposed to right and water left . . . . . . . . . .Sequential exposure . . . . . . . . . . . . . . . . . . . .Recipe 6 at 5 collocation points . . . . . . . . . . . . .Recipe 6 at 10 collocation points . . . . . . . . . . . .Recipe 6 at 15 collocation points . . . . . . . . . . . .Recipe 3 at 5 collocation points and 10 runs . . . . . .Recipe 3 at 10 collocation points and 10 runs . . . . .Recipe 3 at 20 collocation points and 10 runs . . . . .TMA exposure over 5 collocation points after 10 runs. .TMA exposure over 10 collocation points after 10 runs.TMA exposure over 15 collocation points after 10 143vi.

Chapter 1IntroductionFor many thin film applications, the method of deposition is important indetermining the quality and property of the film. The deposition process must becost-efficient and be capable of shifting from bench-scale production to industriallarge-scale production. Two particular methods for thin film production, ChemicalVapor Deposition (CVD) and Atomic Layer Deposition (ALD), have been appliedin various thin film fabrication processes with success. In chemical vapor deposition,dense films with excellent uniformity over large areas and over complex geometriescan be deposited over broad temperature and pressure ranges. In atomic layerdeposition, thickness and composition can be controlled down to the atomic level.Atomic Layer Deposition (ALD) was invented in 1977 by [91], and is a modifiedversion of the chemical vapor deposition technique. Unlike the CVD process wheredeposition is done in a steady-state flow situation, ALD uses discrete steps to controlthe surface reaction and film thickness.A novel application in the use of ALD is for the control of pore diameters inhigh aspect ratio nanopores. Aluminum forms a porous oxide called anodic aluminum oxide (AAO) when anodized in an acidic electrolyte. The property of AAOthat is the most relevant is that the pores are very uniform in both pore lengthand pore diameter and are arranged in an hexagonal pattern. The pores are al-1

most parallel and can either be open at one end or opened at both ends [20]. AAOhas many applications ranging from energy storage, filtration and as next generation catalysts where AAO is used as a scaffold for the creation of nanostructuredcatalytic membranes (NCM). Nanostructured catalytic membranes (NCM) has garnered much academic research due to its advantages over typical reactor designs.The NCM combines two processes, chemical reaction and the separation of the resulting product simultaneously thus reducing the overall size of the typical catalyticreactor and the cost of operations [15].Modeling ALD gas surface reactions range from ab initio quantum dynamicsimulations to molecular dynamics simulations typically preferred by theoreticalchemists. From a modeling point of view, considerable effort has been put intounderstanding high-k materials. There are several methods available that modelsurface morphologies including: first principle approach in calculating reaction pathways, mean field approximations in describing thin film morphologies based on nucleation theory, molecular dynamics simulations to describe the diffusion of moleculeson a substrate, Chapman-Kolmogorov equation and Monte Carlo methods describing thin film properties per cycle. The main computational contribution of thispaper is to define a numerical representation of ALD films by approximating thefilm’s true molecular structure on a 2D lattice. We see our approach as a method ofcoarse graining the potentially complex nature of the films, limiting the number ofdegrees of freedom to a more manageable level of computational complexity. Whileour lattice representation only approximates the spatial relationships of atoms inthe film, it will give useful information about the film structure and will rigorously2

keep track of bonds between atoms. Because this lattice-based representation offilm structure will be capable of describing crystalline through amorphous films, wewill examine the evolution of ALD film characteristics, grown on a crystalline Al2 O3substrate, to examine how the film structure and composition evolve with ALD cyclenumber.In addition to conformal films interesting pore shapes can be created by novelmethods of separating the precursors by introducing the first precursor at one opening and the other precursor at the other opening.1.1 Outline of ThesisThis work begins by reviewing the atomic layer deposition process its advantages and limitations and the current industrial uses and types of reactors. Thenext chapter is dedicated to a review of ALD chemistries from reaction theory toprecursor choices followed by the ALD chemistries of TMA and water and theirstructures. Saturation issues as function of sterric effects is reviewed followed bythe properties of aluminum oxide films. A review of experimental literature dataand density functional theory and a overall mass balance approach to garner surfacestatistics is discussed. The next chapter focuses on the methods for the modeling ofgas surface reactions which is followed by a novel lattice based Monte Carlo modelingtechnique. The next chapter discusses the pore transport model and its derivationfollowed by a review of other modeling methods. The last chapter discusses themultiscale results.3

Chapter 2A Review of Atomic Layer Deposition2.1 OverviewThis chapter provides the two fundamental processes for thin film depositionthat are used in industry and academia chemical vapor deposition, CVD, and atomiclayer deposition, ALD. An overview of advantages and disadvantages of ALD isgiven followed by industrial applications for ALD. The final section is dedicated tothe formation of anodic aluminum oxide nanopores that are used as templates andscaffolds specifically for the formation of nanotubes and nanostructured catalyticmembranes which utilize ALD for their modifications.2.2 Thin Film Deposition: CVD and ALDThin film deposition is a manufacturing process where precursor materials aredelivered to a substrate surface, attach and form a solid material layer [40]. Thethickness of this layer can range from a few atomic layers to several micrometers.Thin film deposition is important in many applications including integrated circuits,microfluidic devices, coating applications and solar cells [85].For many thin film applications, the method of deposition is important indetermining the quality and property of the film. The deposition process must be4

cost-efficient and be capable of shifting from bench-scale production to industriallarge-scale production. Two particular methods for thin film production, ChemicalVapor Deposition (CVD) and Atomic Layer Deposition (ALD), have been appliedin various thin film fabrication processes with success. In chemical vapor deposition,dense films with excellent uniformity over large areas and over complex geometriescan be deposited over broad temperature and pressure ranges. In atomic layerdeposition, thickness and composition can be controlled down to the atomic level.ALD was invented in 1977 by [91], and is a modified version of the chemical vapordeposition technique. Unlike the CVD process where deposition is done in a steadystate flow situation, ALD uses discrete steps to control the surface reaction and filmthickness.2.3 CVDChemical Vapor Deposition is the predecessor for ALD. It is therefore important to discuss the CVD process in order to gain a better understanding of theadvantages of ALD. CVD is widely used as a means of depositing films for applications ranging from superconductors to ferroelectric films to hard coatings [10]. Thename itself implies the formation of solid material from the gas state by way of achemical reaction [90]. A CVD reactor typically consists of the following parts: feedand source lines for precursor gasses, mass flow controllers, the reaction chamber(reactor), heat source for the substrate, and temperature sensors Figure 2.1. Thedeposition for chemical vapor deposition can be described through the following5

steps [38]1. Reactant transport to substrate surface2. Reactant adsorption on surface3. Reactant surface diffusion4. Incorporation of adsorbed species into the growing film5. Chemical reaction product desorption6. Diffusion of reaction products away from surface7. Removal of reaction product and unreacted reactantThe variables which affect the film properties and deposition rate, are a function ofthe following experimental parameters:1. Reactor geometry2. Surface properties3. Pressure4. Substrate temperature5. Gas flow rates6. Reactant ratios7. Purity of reactants6

Reactant DeliveryReactorVentMechanical ArmBare SubstrateHeaterFigure 2.1: CVD Reactor SchematicIn general, CVD results in high deposition rates and is suitable for industrial scaleproduction. However, if the experimental parameters have not been optimized,undesirable reactions can take place in the gas phase. These gas phase reactionsare known as homogeneous reactions as compared to heterogeneous reactions whichoccur on the surface. These homogenous reactions result in the formation of particlesthat precipitate onto the substrate or growing film. Particle formation may result inpoor adhesion of the film or the destruction of microelectronic devices [88]. Otherproblems with CVD type of reactors is that a constant flux of gasses is requiredmaking the use of solid sources difficult [70].7

2.4 ALD OverviewAtomic Layer Deposition (ALD) is a thin film deposition process in which thegrowth surface is exposed to reactive precursor gases in an alternating fashion. Acharacteristic of the surface adsorption and reaction mechanisms is that they arenormally self-limiting, allowing for atomically accurate control of lm thickness anduniform deposition over complex surface topographies.2.5 Fundamental ALD ProcessThe ALD process has the following steps Figure [2.2] [79, 58, 88]:1. Figure [2.2 A] Precursor gas is pumped into a chamber containing a substrate.2. Figure [2.2 B] Precursor gas chemisorbs on a substrate active site.3. Figure [2.2 C] After a certain residence time the excess of the reactant precursor, which is in the gas phase or has been physisorbed on the reactor chamberwalls or on the substrate, is pumped out the chamber with the aid of an inertgas.4. Figure [2.2 D] A distinct second precursor then is pumped into the reactorchamber where it chemisorbs and undergoes an exchange reaction with thefirst reactant on the substrate.5. Figure [2.2 E] This second reactant pulse results in the formation of a solid thinfilm and a second inert gas purge removes any excess gas from the chamber.8

APrecursor Gas (A)Bare SubstrateBBare SubstrateCInert GasInert GasInert GasBare SubstrateDMMMMMMMMMPrecursor Gas (B)MMMMMBare SubstrateEMMInert GasInert GasMMInert GasMMMMMMMMBare SubstrateFigure 2.2: ALD Process Steps9

By repeating this cycle, a controlled layer-by-layer growth is achieved. The inertgas that is pumped into the reactor between the precursor materials not only provides a separation between the precursors but also acts as a cleaning agent. Thiscleaning agent is important because gas-phase reactions between source materialsare undesired [88].2.5.1 Benefits of ALDThe self-limiting nature of ALD is a function of the precursor dose. Surfacereactions will proceed if there is a high enough precursor concentration to saturatethe substrate, once this saturation is achieved the gas surface reaction terminates.Thus at this saturation limit or beyond a homogenous film is deposited on all surfacesleading to some practical advantages:[81]1. Excellent conformality and uniformity over large areas and batches2. Accurate film thickness control as a function of the number of repeated deposition cycles.3. Film composition can be changed by replacing the precursors dosed into thereactor thus allowing for the creation of multilayer or multicomponent films2.5.2 Limitations of ALDThough ALD may seem like an ideal process for thin film development severaldisadvantages exist [81]:10

1. The separation of precursors and the introduction of the purge steps resultsin low deposition rates around the order of 100nm/h.2. There are limited material selections for ALD precursors and processes forimportant materials as related to the semiconductor industry and beyond suchas silicon, germanium, metal silicides and multicomponent oxide ferroelectrics.3. ALD’s chemical nature can result in impurities left in the film, typically fromunreacted surface oxides, on the order of a few percentages.However; in spite of these limitations, the ALD process allows for large batch processing capabilities to offset the low deposition rate, an increase in effort has beenunderway for several years in conducting research in new precursor systems and thefilms created still show good material properties regardless of the increase in filmimpurities.ALD is an inherently dynamic process characterized by multiple time scales:a faster time scale corresponding to the molecular events taking place during eachexposure cycle, and the slower changes that take place in overall deposition ratefrom cycle to cycle [44]. Likewise, multiple length scales are found in these systemswhere macroscopic length scales (100s of µm) correspond to gas phase transporteffects, and microscopic scales characterize the atomistic nature of the lm growth.Reaction chemistry, stoichiometry, and kinetics all factor into successful saturation coverage in ALD processes [43]. In ALD, both reactions must be fast andirreversible, homogenous reactions must not occur, and both reactants must undergoa self-limiting reaction with the product of the previous reactant on the surface of11

the substrate. Physisorption is not allowed and the reactants and by-products mustbe sufficiently volatile at the deposition temperature. Regarding ALD stoichiometry, a proper amount of reactant must be supplied so that a stoichmetric amount ofmaterial is available for deposition over the entire surface. Transport phenomena inALD processes is important for complex geometries. For example, if ALD is used inholes or cavities, a long enough exposure of reactant must be present at the entranceof the cavity to saturate the entire surface area of the geometry.2.6 Review of ALD ApplicationsThe industrial applications of ALD can be classified into the following categories: microelectronics, magnetic heads, thin film electroluminescent displays,protective coatings, optics and next generation catalysts. We provide a few briefexamples below:2.6.1 MicroelectronicsALD microelectronics product applications include gate stacks, capacitors,interconnects and non-semiconductor applications. A gate stack is a transistor element in which a circuit can be turned on or off by applying a voltage. Traditionalgate stack materials include silicon dioxide and silicon nitride. These materials nolonger meet the challenge of advanced ultra large-scale integrated circuits and newpromising materials include zirconium oxide and hafnium oxide, which can be madesmall enough to fit on the large-scale integrated circuit by ALD. The function of12

a capacitor is store charge and memory chip manufacturers continue to strive forreducing the size of capacitors while ensuring that capacitors and nearby transistors are adequately isolated from each other. As chip real estate decreases smallercapacitors with high dielectric constants must be created. To create smaller andsmaller capacitors, ALD of aluminum oxide is promising. ALD, is the key enablingtechnology in Intels current 45nm transistor manufacturing process to deposit theHfO2 gate oxide [12]. Furthermore, research is underway in the deposition of gatedielectrics for carbon nanotube transistors [49].2.6.2 Magnetic HeadsMagnetic heads are used to read and write data onto hard disks [81], theymove above a disc platter transforming the platters magnetic field i

A very promising material system for ALD growth is aluminum oxide. Alu- . For this work a multiscale simulation is presented where aluminum oxide is deposited inside anodic aluminum oxide (AAO) pores for the purposes of tuning the . where lm growth is on the order of minutes and hours and surface reactions are near instantaneous. Likewise .